Apple silicon

Page semi-protected
From Wikipedia, the free encyclopedia

The A16 Bionic chip

Apple silicon refers to a series of system on a chip (SoC) and system in a package (SiP) processors designed by Apple Inc., mainly using the ARM architecture. They are the basis of Mac, iPhone, iPad, Apple TV, Apple Watch, AirPods, AirTag, HomePod, and Apple Vision Pro devices.

Apple announced its plan to switch Mac computers from Intel processors to Apple silicon at WWDC 2020 on June 22, 2020.[1][2] The first Macs built with the Apple M1 chip were unveiled on November 10, 2020. As of June 2023, the entire Mac lineup uses Apple silicon chips.

Apple fully controls the integration of Apple silicon chips with the company's hardware and software products. Johny Srouji is in charge of Apple's silicon design.[3] Manufacturing of the chips is outsourced to semiconductor contract manufacturers such as TSMC.

A series

The "A" series is a family of SoCs used in the iPhone, certain iPad models, and the Apple TV. "A" series chips were also used in the discontinued iPod Touch line and the original HomePod. They integrate one or more ARM-based processing cores (CPU), a graphics processing unit (GPU), cache memory and other electronics necessary to provide mobile computing functions within a single physical package.[4]

Apple A4

The Apple A4 is a PoP SoC manufactured by Samsung, the first SoC Apple designed in-house.[5] It combines an ARM Cortex-A8 CPU – also used in Samsung's S5PC110A01 SoC[6][7] – and a PowerVR SGX 535 graphics processor (GPU),[8][9][10] all built on Samsung's 45-nanometer silicon chip fabrication process.[11][12] The design emphasizes power efficiency.[13] The A4 commercially debuted in 2010, in Apple's iPad tablet,[8] and was later used in the iPhone 4 smartphone,[14] the fourth-generation iPod Touch, and the 2nd-generation Apple TV.[15]

The Cortex-A8 core used in the A4, dubbed "Hummingbird", is thought to use performance improvements developed by Samsung in collaboration with chip designer Intrinsity, which was subsequently acquired by Apple[16][17] It can run at far higher clock rates than other Cortex-A8 designs yet remains fully compatible with the design provided by ARM.[18] The A4 runs at different speeds in different products: 1 GHz in the first iPads,[19] 800 MHz in the iPhone 4 and fourth-generation iPod Touch, and an undisclosed speed in the 2nd-generation Apple TV.

The A4's SGX535 GPU could theoretically push 35 million polygons per second and 500 million pixels per second, although real-world performance may be considerably less.[20] Other performance improvements include additional L2 cache.

The A4 processor package does not contain RAM, but supports PoP installation. The 1st-generation iPad, fourth-generation iPod Touch,[21] and the 2nd-generation Apple TV[22] have an A4 mounted with two low-power 128 MB DDR SDRAM chips (totaling 256 MB), while the iPhone 4 has two 256 MB packages for a total of 512 MB.[23][24][25] The RAM is connected to the processor using ARM's 64-bit-wide AMBA 3 AXI bus. To give the iPad high graphics bandwidth, the width of the RAM data bus is double that used in previous ARM11- and ARM9-based Apple devices.[26]

Apple A5

The Apple A5 is an SoC manufactured by Samsung[27] that replaced the A4. The chip commercially debuted with the release of Apple's iPad 2 tablet in March 2011,[28] followed by its release in the iPhone 4S smartphone later that year. Compared to the A4, the A5 CPU "can do twice the work" and the GPU has "up to nine times the graphics performance",[29] according to Apple.

The A5 contains a dual-core ARM Cortex-A9 CPU[30] with ARM's advanced SIMD extension, marketed as NEON, and a dual core PowerVR SGX543MP2 GPU. This GPU can push between 70 and 80 million polygons/second and has a pixel fill rate of 2 billion pixels/second. The iPad 2's technical specifications page says the A5 is clocked at 1 GHz,[31] though it can adjust its frequency to save battery life.[30][32] The clock speed of the unit used in the iPhone 4S is 800 MHz. Like the A4, the A5 process size is 45 nm.[33]

An updated 32 nm version of the A5 processor was used in the 3rd-generation Apple TV, the fifth-generation iPod Touch, the iPad Mini, and the new version of iPad 2 (version iPad2,4).[34] The chip in the Apple TV has one core locked.[35][36] Markings on the square package indicate that it is named APL2498, and in software, the chip is called S5L8942. The 32 nm variant of the A5 provides around 15% better battery life during web browsing, 30% better when playing 3D games and about 20% better battery life during video playback.[37]

In March 2013, Apple released an updated version of the 3rd-generation Apple TV (Rev A, model A1469) containing a smaller, single-core version of the A5 processor. Unlike the other A5 variants, this version of the A5 is not a PoP, having no stacked RAM. The chip is very small, just 6.1×6.2 mm, but as the decrease in size is not due to a decrease in feature size (it is still on a 32 nm fabrication process), this indicates that this A5 revision is of a new design.[38] Markings tell that it is named APL7498, and in software, the chip is called S5L8947.[39][40]

Apple A5X

The Apple A5X is an SoC announced on March 7, 2012, at the launch of the third-generation iPad. It is a high-performance variant of the Apple A5; Apple claims it has twice the graphics performance of the A5.[41] It was superseded in the fourth-generation iPad by the Apple A6X processor.

The A5X has a quad-core graphics unit (PowerVR SGX543MP4) instead of the previous dual-core as well as a quad-channel memory controller that provides a memory bandwidth of 12.8 GB/s, roughly three times more than in the A5. The added graphics cores and extra memory channels add up to a very large die size of 165 mm²,[42] for example twice the size of Nvidia Tegra 3.[43] This is mainly due to the large PowerVR SGX543MP4 GPU. The clock frequency of the dual ARM Cortex-A9 cores have been shown to operate at the same 1 GHz frequency as in A5.[44] The RAM in A5X is separate from the main CPU package.[45]

Apple A6

The Apple A6 is a PoP SoC introduced on September 12, 2012, at the launch of the iPhone 5, then a year later was inherited by its minor successor the iPhone 5C. Apple states that it is up to twice as fast and has up to twice the graphics power compared to its predecessor the Apple A5.[46] It is 22% smaller and draws less power than the 45 nm A5.[47]

The A6 is said to use a 1.3 GHz[48] custom[49] Apple-designed ARMv7 based dual-core CPU, called Swift,[50] rather than a licensed CPU from ARM like in previous designs, and an integrated 266 MHz triple-core PowerVR SGX 543MP3[51] graphics processing unit (GPU). The Swift core in the A6 uses a new tweaked instruction set, ARMv7s, featuring some elements of the ARM Cortex-A15 such as support for the Advanced SIMD v2, and VFPv4.[49] The A6 is manufactured by Samsung on a high-κ metal gate (HKMG) 32 nm process.[52]

Apple A6X

Apple A6X is an SoC introduced at the launch of the fourth-generation iPad on October 23, 2012. It is a high-performance variant of the Apple A6. Apple claims the A6X has twice the CPU performance and up to twice the graphics performance of its predecessor, the Apple A5X.[53]

Like the A6, this SoC continues to use the dual-core Swift CPU, but it has a new quad core GPU, quad channel memory and slightly higher 1.4 GHz CPU clock rate.[54] It uses an integrated quad-core PowerVR SGX 554MP4 graphics processing unit (GPU) running at 300 MHz and a quad-channel memory subsystem.[54][55] Compared to the A6 the A6X is 30% larger, but it continues to be manufactured by Samsung on a high-κ metal gate (HKMG) 32 nm process.[55]

Apple A7

The Apple A7 is a 64-bit PoP SoC whose first appearance was in the iPhone 5S, which was introduced on September 10, 2013. The chip would also be used in the iPad Air, iPad Mini 2 and iPad Mini 3. Apple states that it is up to twice as fast and has up to twice the graphics power compared to its predecessor the Apple A6.[56] The Apple A7 chip is the first 64-bit chip to be used in a smartphone and later a tablet computer.[57]

The A7 features an Apple-designed 1.3[58]–1.4[59] GHz 64-bit[60] ARMv8-A[61][62] dual-core CPU,[58] called Cyclone,[61] and an integrated PowerVR G6430 GPU in a four cluster configuration.[63] The ARMv8-A architecture doubles the number of registers of the A7 compared to the A6.[64] It now has 31 general-purpose registers that are each 64-bits wide and 32 floating-point/NEON registers that are each 128-bits wide.[60] The A7 is manufactured by Samsung on a high-κ metal gate (HKMG) 28 nm process[65] and the chip includes over 1 billion transistors on a die 102 mm2 in size.[58]

Apple A8

The Apple A8 is a 64-bit PoP SoC manufactured by TSMC. Its first appearance was in the iPhone 6 and iPhone 6 Plus, which were introduced on September 9, 2014.[66] A year later it would drive the iPad Mini 4. Apple states that it has 25% more CPU performance and 50% more graphics performance while drawing only 50% of the power compared to its predecessor, the Apple A7.[67] On February 9, 2018, Apple released the HomePod, which is powered by an Apple A8 with 1 GB of RAM.[68]

The A8 features an Apple-designed 1.4[69] GHz 64-bit[70] ARMv8-A[70] dual-core CPU, and an integrated custom PowerVR GX6450 GPU in a four cluster configuration.[69] The GPU features custom shader cores and compiler.[71] The A8 is manufactured on a 20 nm process[72] by TSMC,[73] which replaced Samsung as the manufacturer of Apple's mobile device processors. It contains 2 billion transistors. Despite that being double the number of transistors compared to the A7, its physical size has been reduced by 13% to 89 mm2 (consistent with a shrink only, not known to be a new microarchitecture).[74]

Apple A8X

The Apple A8X is a 64-bit SoC introduced at the launch of the iPad Air 2 on October 16, 2014.[75] It is a high performance variant of the Apple A8. Apple states that it has 40% more CPU performance and 2.5 times the graphics performance of its predecessor, the Apple A7.[75][76]

Unlike the A8, this SoC uses a triple-core CPU, a new octa-core GPU, dual channel memory and slightly higher 1.5 GHz CPU clock rate.[77] It uses an integrated custom octa-core PowerVR GXA6850 graphics processing unit (GPU) running at 450 MHz and a dual-channel memory subsystem.[77] It is manufactured by TSMC on their 20 nm fabrication process, and consists of 3 billion transistors.

Apple A9

The Apple A9 is a 64-bit ARM-based SoC that first appeared in the iPhone 6S and 6S Plus, which were introduced on September 9, 2015.[78] Apple states that it has 70% more CPU performance and 90% more graphics performance compared to its predecessor, the Apple A8.[78] It is dual sourced, a first for an Apple SoC; it is manufactured by Samsung on their 14 nm FinFET LPE process and by TSMC on their 16 nm FinFET process. It was subsequently included in the first-generation iPhone SE, and the iPad (5th generation). The Apple A9 was the last CPU that Apple manufactured through a contract with Samsung, as all A-series chips after are manufactured by TSMC.

Apple A9X

The Apple A9X is a 64-bit SoC that was announced on September 9, 2015, and released on November 11, 2015, and first appeared in the iPad Pro.[79] It offers 80% more CPU performance and two times the GPU performance of its predecessor, the Apple A8X. It is manufactured by TSMC using a 16 nm FinFET process.[80]

Apple A10 Fusion

The Apple A10 Fusion is a 64-bit ARM-based SoC that first appeared in the iPhone 7 and 7 Plus, which were introduced on September 7, 2016.[81] The A10 is also featured in the sixth-generation iPad, seventh-generation iPad and seventh-generation iPod Touch.[82] It has a new ARM big.LITTLE quad core design with two high performance cores, and two smaller highly efficient cores. It is 40% faster than the A9, with 50% faster graphics. It is manufactured by TSMC on their 16 nm FinFET process.

Apple A10X Fusion

The Apple A10X Fusion is a 64-bit ARM-based SoC that first appeared in the 10.5" iPad Pro and the second generation of the 12.9" iPad Pro, which were both announced on June 5, 2017.[83] It is a variant of the A10 and Apple claims that it has 30 percent faster CPU performance and 40 percent faster GPU performance than its predecessor, the A9X.[83] On September 12, 2017, Apple announced that the Apple TV 4K would be powered by an A10X chip. It is made by TSMC on their 10 nm FinFET process.[84]

Apple A11 Bionic

The Apple A11 Bionic is a 64-bit ARM-based SoC[85] that first appeared in the iPhone 8, iPhone 8 Plus, and iPhone X, which were introduced on September 12, 2017.[85] It has two high-performance cores, which are 25% faster than the A10 Fusion, four high-efficiency cores, which are 70% faster than the energy-efficient cores in the A10, and for the first time an Apple-designed three-core GPU with 30% faster graphics performance than the A10.[85][86] It is also the first A-series chip to feature Apple's "Neural Engine," which enhances artificial intelligence and machine learning processes.[87]

Apple A12 Bionic

The Apple A12 Bionic is a 64-bit ARM-based SoC that first appeared in the iPhone XS, XS Max and XR, which were introduced on September 12, 2018. It is also used in the third-generation iPad Air, fifth-generation iPad Mini, and the eighth-generation iPad. It has two high-performance cores, which are 15% faster than the A11 Bionic, and four high-efficiency cores, which have 50% lower power usage than the energy-efficient cores in the A11 Bionic.[88] The A12 is manufactured by TSMC[89] using a 7 nm[90] FinFET process, the first to ship in a smartphone.[91][89] It is also used in the 6th generation Apple TV.

Apple A12X Bionic

The Apple A12X Bionic is a 64-bit ARM-based SoC that first appeared in the 11.0" iPad Pro and the third generation of the 12.9" iPad Pro, which were both announced on October 30, 2018.[92] It offers 35% faster single-core and 90% faster multi-core CPU performance than its predecessor, the A10X. It has four high-performance cores and four high-efficiency cores. The A12X is manufactured by TSMC using a 7 nm FinFET process.

Apple A12Z Bionic

The Apple A12Z Bionic is an updated version of the A12X Bionic, first appearing in the fourth generation iPad Pro, which was announced on March 18, 2020.[93] It adds an additional GPU core, compared to the A12X, for improved graphics performance.[94] The A12Z is also used in the Developer Transition Kit prototype computer that helps developers prepare their software for Macs based on Apple silicon.[95]

Apple A13 Bionic

The Apple A13 Bionic is a 64-bit ARM-based SoC that first appeared in the iPhone 11, 11 Pro, and 11 Pro Max, which were introduced on September 10, 2019. It is also featured in the second-generation iPhone SE (released April 15, 2020), the 9th generation iPad (announced September 14, 2021) and in the Studio Display (announced March 8, 2022)

The entire A13 SoC features a total of 18 cores – a six-core CPU, four-core GPU, and an eight-core Neural Engine processor, which is dedicated to handling on-board machine learning processes; four of the six cores on the CPU are low-powered cores that are dedicated to handling less CPU-intensive operations, such as voice calls, browsing the Web, and sending messages, while two higher-performance cores are used only for more CPU-intensive processes, such as recording 4K video or playing a video game.[96]

Apple A14 Bionic

The Apple A14 Bionic is a 64-bit ARM-based SoC that first appeared in the fourth-generation iPad Air and iPhone 12, released on October 23, 2020. It is the first commercially available 5 nm chipset and it contains 11.8 billion transistors and a 16-core AI processor.[97] It includes Samsung LPDDR4X DRAM, a 6-core CPU, and 4-Core GPU with real time machine learning capabilities. It was later used in the tenth-generation iPad, released on October 26, 2022.

Apple A15 Bionic

The Apple A15 Bionic is a 64-bit ARM-based SoC that first appeared in the iPhone 13, unveiled on September 14, 2021. The A15 is built on a 5-nanometer manufacturing process with 15 billion transistors. It has 2 high-performance processing cores, 4 high-efficiency cores, a new 5-core graphics for iPhone 13 Pro series (4-core for iPhone 13 and 13 mini) processing unit, and a new 16-core Neural Engine capable of 15.8 trillion operations per second.[98][99] It is also used in the iPhone SE (3rd generation), iPhone 14, iPhone 14 Plus and iPad Mini 6.[100]

Apple A16 Bionic

The Apple A16 Bionic is a 64-bit ARM-based SoC that first appeared in the iPhone 14 Pro, unveiled on September 7, 2022. The A16 has 16 billion transistors and is built on TSMC's N4P fabrication process, being touted by Apple as the first 4 nm processor in a smartphone.[101][102] However, N4 is an enhanced version of N5 technology, a de facto fourth-generation 5 nm manufacturing process.[103][104][105] The chip has 2 high-performance processing cores, 4 high-efficiency cores and 5-core graphics for iPhone 14 Pro series. Memory is upgraded to LPDDR5 for 50% higher bandwidth and a 7% faster 16-core Neural Engine capable of 17 trillion operations per second.

Apple A17 Pro

The Apple A17 Pro is a 64-bit ARM-based SoC that first appeared in the iPhone 15 Pro, unveiled on September 12, 2023. It is Apple's first 3 nm SoC. The chip has 2 high-performance processing cores, 4 high-efficiency cores, a 6-core GPU for iPhone 15 Pro series, and a 16-core Neural Engine capable of 35 trillion operations per second. The GPU was described as their biggest redesign in the history of Apple GPUs, it added hardware accelerated ray tracing and mesh shading support.[106]

Comparison of A series processors

General Image Semiconductor technology Computer architecture CPU GPU AI accelerator Memory technology First released date Devices Supported OS
Name Codename Part No. Node Manufacturer Transistors count Die size CPU ISA Bit width Performance core Efficiency core Overall cores Cache Vendor Cores SIMD EU count FP32 ALU count Frequency FP32 FLOPS Cores OPS Memory bus width Total channel
Bit per channel
Memory type Theoretical
bandwidth
Available capacity Initial Terminal
Core name Cores Core speed Core name Cores Core speed L1 L2 L3 SLC
[a] APL0098 S5L8900 90 nm
[107]
Samsung 72 mm2
[11]
ARMv6 32-bit ARM11 1 412 MHz Single-core L1i: 16 KB
L1d: 16 KB
PowerVR
MBX Lite
1 1 8 60 MHz – 103 MHz 0.96 GFLOPS – 1.64 GFLOPS 16-bit 1 channel
16-bit/channel
LPDDR-266
(133.25 
MHz)
533 MB/s 128 MB June 29, 2007 iPhone
iPod Touch (1st Gen)
iPhone OS 1.0
[b] APL0278 S5L8720 65 nm
[11]
36 mm2
[11]
533 MHz 103 MHz – 133 MHz 1.64 GFLOPs – 2.12 GFLOPS 32-bit 1 channel
32-bit/channel
1066 MB/s July 11, 2008 iPhone 3G
iPod Touch (2nd Gen)
iPhone OS 2.1.1
[c] APL0298 S5L8920 71.8 mm2
[12]
ARMv7 Cortex-A8 600 MHz L1i: 32 KB
L1d: 32 KB
256 KB PowerVR
SGX535
[108]
2 16 200 MHz 6.4 GFLOPS LPDDR-400
(200 MHz)
1.6 GB/s 256 MB June 19, 2009 iPhone 3GS iPhone OS 3.0 iOS 6.1.6
APL2298 S5L8922 45 nm
[11][12]
[33]
41.6 mm2
[11]
September 9, 2009 iPod Touch (3rd Gen) iPhone OS 3.1.1 iOS 5.1.1
A4 APL0398 S5L8930 53.3 mm2
[11][12]
800 MHz 512 KB 200 MHz – 250 MHz 6.4 GFLOPS – 8.0 GFLOPS 64-bit 2 channels
32-bit/channel
3.2 GB/s April 3, 2010 iPad (1st Gen)
iPhone 4
iPod Touch (4th Gen)
Apple TV (2nd Gen)
iPhone OS 3.2
Apple TV Software 4.0
iOS 6.1.6
1.0 GHz iOS 5.1.1[d]
Apple TV Software 6.2.1
800 MHz 512 MB iOS 7.1.2
A5 APL0498 S5L8940 122.2 mm2
[33]
Cortex-A9 2 800 MHz Dual-core 1 MB PowerVR
SGX543
[109][51]
2 4 32 200 MHz 12.8 GFLOPS LPDDR2-800
(400 MHz)
6.4 GB/s March 11, 2011 iPad 2
iPhone 4S
iOS 4.3 iOS 9.3.5[e]
iOS 9.3.6[f]
Apple TV Software 7.6.2
1.0 GHz
APL2498 S5L8942 32 nm
MG
[34][40]
69.6 mm2
[34]
800 MHz March 7, 2012 Apple TV (3nd Gen)
iPad 2
iPod Touch (5th Gen)
iPad Mini (1st Gen)
iOS 5.1
1.0 GHz
2 (One core locked) Dual-core
Single-core in actual
Apple TV Software 5.0
APL7498 S5L8947 37.8 mm2
[40]
1 Single-core January 28, 2013 Apple TV (3nd Gen Rev. A) Apple TV Software 5.2
A5X APL5498 S5L8945 45 nm
[11][12]
[33]
165 mm2
[42]
2 Dual-core 4 8 64 25.6 GFLOPS 128-bit 4 channels
32-bit/channel
12.8 GB/s 1 GB March 16, 2012 iPad (3rd Gen) iOS 5.1
A6 APL0598 S5L8950 32 nm
MG
[52][110]
[55]
96.71 mm2
[52][110]
ARMv7s[111] Swift[49] 1.3 GHz
[112]
3 6 48 266 or 709 MHz 25.5 or 68.0 GFLOPS 64-bit 2 channels
32-bit/channel
LPDDR2-1066
(533 MHz)
8.5 GB/s September 21, 2012 iPhone 5
iPhone 5C
iOS 6.0 iOS 10.3.3[g]
iOS 10.3.4[h]
A6X APL5598 S5L8955 123 mm2
[55]
1.4 GHz
[54]
PowerVR
SGX554
[54][113]
4 16 128 300 MHz 76.8 GFLOPS 128-bit 4 channels
32-bit/channel
17.0 GB/s November 2, 2012 iPad (4th Gen)
A7 APL0698 S5L8960 28 nm
MG
[65][114]
1 billion 102 mm2
[60][114]
ARMv8.0-A
[61][69]
64-bit Cyclone 1.3 GHz L1i: 64 KB
L1d: 64 KB
4 MB (Inclusive)
[61][115][59]
PowerVR
G6430
[63][113]
450 MHz 115.2 GFLOPS 64-bit 1 channel
64-bit/channel
LPDDR3-1600
(800 MHz)
12.8 GB/s September 20, 2013 iPhone 5S
iPad Mini 2
iPad Mini 3
iOS 7.0 iOS 12.5.7
APL5698 S5L8965 1.4 GHz November 1, 2013 iPad Air (1st Gen) iOS 7.0.3
A8 APL1011 T7000 20 nm
MG
[70][69]
TSMC 2 billion 89 mm2
[116][77]
[117]
Typhoon 1.1 GHz PowerVR
GX6450
[71][118][119]
533 MHz 136.4 GFLOPS September 19, 2014 iPhone 6 & 6 Plus
iPod Touch (6th Gen)
iPad Mini 4
Apple TV HD
HomePod (1st Gen)
iOS 8.0
1.4 GHz
audioOS 11.0 HomePod Software 15.6
(Current)
1.5 GHz 2 GB iOS 8.0
tvOS 9.0
iPadOS 15.8.2
(Current)
tvOS 17.2
(Current)
A8X APL1021 T7001 3 billion 128 mm2
[77]
3 1.5 GHz 3-core 2 MB PowerVR
GX6850
[71][77][117]
8 32 256 450 MHz 230.4 GFLOPS 128-bit 2 channels
64-bit/channel
25.6 GB/s October 22, 2014 iPad Air 2 iOS 8.1 iPadOS 15.8.2
(Current)
A9 APL0898 S8000 14 nm
FinFET
[120]
Samsung ≥ 2 billion 96 mm2
[121]
Twister 2 1.85 GHz
[122][123]
Dual-core 3 MB 4 MB (Victim)

[115][124]

PowerVR
GT7600
[71][125]
6 24 192 650 MHz 249.6 GFLOPS 64-bit 1 channel
64-bit/channel
LPDDR4-3200
(1600 MHz)
September 25, 2015 iPhone 6S & 6S Plus
iPhone SE (1st Gen)
iPad (5th Gen)
iOS 9.0 iOS 15.8.2
(Current)
iPadOS 16.7.7
(Current)
tvOS 17.2
(Current)
APL1022 S8003 16 nm
FinFET
[121][126]
[127]
TSMC 104.5 mm2
[121]
A9X APL1021 S8001 ≥ 3 billion 143.9 mm2
[126][84]
2.16 GHz
[128][129]

[115][126]
PowerVR
GT7850
[71][126]
12 48 384 499.2 GFLOPS 128-bit
(64-bit in actual)
2 channels
(one channel is unused)
64-bit/channel
November 11, 2015 iPad Pro 9.7-inch (2016) & iPad Pro 12.9-inch (2015) iOS 9.1
2.26 GHz 128-bit 2 channels
64-bit/channel
51.2 GB/s 4 GB
A10 Fusion APL1W24 T8010 3.3 billion 125 mm2
[127]
ARMv8.1-A Hurricane 2 1.64 GHz Zephyr 2 1.09 GHz Quad-core
(Only 2 cores performed at a same time)
P-core:
L1i: 64 KB
L1d: 64 KB

E-core:
L1i: 32 KB
L1d: 32KB
P-core:
3 MB

E-core:
1 MB
4 MB PowerVR
GT7600
Plus
[130][71]
[131][132]
6 24 192 900 MHz 345.6 GFLOPS 64-bit 1 channel
64-bit/channel
25.6 GB/s 2 GB September 16, 2016 iPhone 7 & 7 Plus
iPad (6th Gen)
iPad (7th Gen)
iPod Touch (7th gen)
iOS 10.0
2.34 GHz
3 GB
A10X Fusion APL1071 T8011 10 nm
FinFET
[84]
≥ 4 billion 96.4 mm2
[84]
3 2.38 GHz 3 1.30 GHz 6-core
(Only 3 cores performed at a same time)
P-core:
8 MB

E-core:
1 MB

[133][134]
4 MB 12 48 384 1000 MHz 768.0 GFLOPS 128-bit 2 channels
64-bit/channel
51.2 GB/s 3 GB June 13, 2017 iPad Pro 10.5-inch (2017) & iPad Pro 12.9-inch (2nd Gen)
Apple TV 4K (2017)
tvOS 11.0
4 GB iOS 10.3.2
A11
Bionic
APL1W72 T8015 4.3 billion 87.66 mm2
[135]
ARMv8.2-A
[136]
Monsoon 2 2.39 GHz Mistral 4 (1 efficiency core disabled in Apple TV 4K 3rd Gen) 1.19 GHz 6-core First
generation Apple-
designed
3 12 192 1066 MHz 409.3 GFLOPS 2 600 billion OPS 64-bit 4 channels
16-bit/channel
LPDDR4X-4266
(2133 MHz)
34.1 GB/s 2 GB September 22, 2017 iPhone 8 & 8 Plus
iPhone X
iOS 11.0 iOS 16.7.7
(Current)
3 GB
A12
Bionic
APL1W81 T8020 7 nm (N7)
FinFET
6.9 billion 83.27 mm2
[137]
ARMv8.3-A
[138]
Vortex 2.49 GHz Tempest 1.59 GHz P-core:
L1i: 128 KB
L1d: 128 KB

E-core:
L1i: 32 KB
L1d: 32KB
P-core:
8 MB

E-core:
2 MB
8 MB Second
generation Apple-
designed (Apple G11P)
4 16 256 1125 MHz 576.0 GFLOPS 8 5 TOPS September 21, 2018 iPhone XS & XS Max
iPhone XR
iPad Mini (5th Gen)
iPad Air (3rd Gen)
iPad (8th Gen)
Apple TV 4K (2nd Gen)
iOS 12.0
tvOS 14.5
iOS 17.4.1
(Current)
iPadOS 17.4.1
(Current)
tvOS 17.2
(Current)
4 GB
A12X Bionic APL1083 T8027 10 billion 135 mm2
[139]
4 8-core Second generation Apple-
designed (Apple G11G)
7
28 448 1.008 TFLOPS 128-bit 2 channels
64-bit/channel
68.2 GB/s November 7, 2018 iPad Pro 11-inch (1st Gen) & iPad Pro 12.9-inch (3rd Gen) iOS 12.1
6 GB
A12Z Bionic 8 32 512 1.152 TFLOPS March 25, 2020 iPad Pro 11-inch (2nd Gen) & iPad Pro 12.9-inch (4th Gen) iPadOS 13.4
16 GB June 22, 2020 Developer Transition Kit (ARM, 2020) macOS Big Sur 11.0 Beta 1 macOS Big Sur 11.3 Beta 2
A13
Bionic
APL1W85 T8030 7 nm (N7P)
FinFET
8.5 billion 98.48 mm2
[140]
ARMv8.4-A
[141]
Lightning 2 2.66 GHz Thunder 1.72 GHz 6-core P-core:
L1i: 192 KB
L1d: 128 KB

E-core:
L1i: 96 KB
L1d: 48 KB
P-core:
8 MB

E-core:
4 MB
16 MB Third
generation Apple-
designed
[142]
4 16
[143]
256 1350 MHz 691.2 GFLOPS 5.5 TOPS 64-bit 4 channels
16-bit/channel
34.1 GB/s 3 GB September 20, 2019 iPhone 11
iPhone 11 Pro & 11 Pro Max
iPhone SE (2nd Gen)
iPad (9th Gen)
Apple Studio Display
iOS 13.0
iPadOS 13.0
iOS 17.4.1
(Current)
iPadOS 17.4.1
(Current)
tvOS 17.2
(Current)
4 GB
A14
Bionic
APL1W01 T8101 5 nm (N5)
FinFET
11.8 billion 88 mm2
[144]
ARMv8.5-A
[145]
Firestorm 3.00 GHz Icestorm 1.82 GHz P-core:
L1i: 192 KB
L1d: 128 KB

E-core:
L1i: 128 KB
L1d: 64 KB
Fourth
generation Apple-
designed
[146][142][147]
[148]
1462.5 MHz 748.8 GFLOPS 16 11 TOPS October 23, 2020 iPad (10th Gen)
iPad Air (4th Gen)
iPhone 12 & 12 Mini
iPhone 12 Pro & 12 Pro Max
iOS 14.0
iPadOS 14.0
4 GB
A15
Bionic
APL1W07
[149]
T8110 5 nm (N5P)
FinFET
15 billion 108.01 mm2
[149]
Avalanche 3.24 GHz Blizzard 2.02 GHz P-core:
12 MB

E-core:
4 MB
32 MB Fifth
generation Apple-
designed
[150][151][152]
512
[143]
1338 MHz
[143][153]
1.370 TFLOPS[154] 15.8 TOPS 4 GB September 24, 2021 iPhone SE (3rd Gen)
iPhone 13 & 13 Mini
iPhone 13 Pro & 13 Pro Max
iPhone 14 & 14 Plus
iPad mini (6th Gen)
Apple TV 4K (3rd Gen)[155]
iOS 15.0
iPadOS 15.0
tvOS 16.1
2.93 GHz 5 20
[153][156]
640
[153][156]
1.713 TFLOPS[157]
3.24 GHz 6 GB
A16
Bionic
APL1W10

[158]

T8120 4 nm
(N4P)
FinFET

[103][104]
[105][102]
[159]

16 billion 112.75 mm2
[160]
ARMv8.6-A
[161]
Everest
[162][163]
3.46 GHz Sawtooth
[162][163]
P-core:
16 MB

E-core:
4 MB

[164]

24 MB

[164]

Sixth
generation Apple-
designed
1398 MHz
[156]
1.789 TFLOPS
[156]
17 TOPS LPDDR5-6400 (3200 MHz) 51.2 GB/s September 16, 2022 iPhone 14 Pro & 14 Pro Max
iPhone 15 & 15 Plus
iOS 16.0
A17
Pro
APL1V02 T8130 3 nm (N3B) FinFET 19 billion 103.80 mm2
[160]
3.78 GHz
[165]
2.11 GHz
[165]
Seventh
generation Apple-
designed
6 24 768 2.147 TFLOPS 35 TOPS 8 GB September 22, 2023 iPhone 15 Pro & 15 Pro Max iOS 17.0
Name Codename Part No. Image Node Manufacturer Transistors count Die size CPU ISA Bit width Core name Cores Core speed Core name Cores Core speed Overall cores L1 L2 L3 SLC Vendor Cores EU count ALU count Frequency FLOPS Cores OPS Memory bus width Total channel
Bit per channel
Memory type Theoretical
bandwidth
Available capacity First released date Devices Initial Terminal
Performance core Efficiency core Cache
General Semiconductor technology Computer architecture CPU GPU AI accelerator Memory technology Supported OS

H series

The Apple "H" series is a family of SoCs with low-power audio processing and wireless connectivity for use in headphones.

Apple H1

The Apple H1 chip was used in the second and third generation AirPods and the first generation AirPods Pro. It was also used in the Powerbeats Pro, the Beats Solo Pro, Beats Fit Pro, the 2020 Powerbeats, AirPods Max.[166] Specifically designed for headphones, it has Bluetooth 5.0, supports hands-free "Hey Siri" commands,[167] and offers 30 percent lower latency than the W1 chip used in earlier AirPods.[168]

Apple H2

The Apple H2 chip was first used in the 2022 version of AirPods Pro. It has Bluetooth 5.3, and implements 48 kHz noise reduction in hardware. The 2022 version of the H2 operates only on the 2.4 GHz frequency, while the 2023 version adds support for a number of Bluetooth service profiles in two specific frequency ranges of the 5 GHz band.[169]

Comparison of H series processors

Name Model no. Image Bluetooth First Released
H1 343S00289[170]
(AirPods 2nd Generation)
343S00290[171]
(AirPods 3nd Generation)
343S00404[172]
(AirPods Max)
H1 SiP[173]
(AirPods Pro)
Apple H1 chip Apple H1 chip Apple H1 chip
Apple H1 SiP Apple H1 SiP
5.0 March 20, 2019
H2 AirPods Pro (2nd generation) 5.3 September 7, 2022

M series

The Apple "M" series is a family of systems on a chip (SoC) used in Mac computers from November 2020 or later, iPad Pro tablets from April 2021 or later, iPad Air tablets from March 2022 or later, and Vision Pro. The "M" designation was previously used for Apple motion coprocessors.

Evolution of Apple "M" series
M1
November 10, 2020 – present
M1 Pro
October 18, 2021 – January 17, 2023
M1 Max
October 18, 2021 – June 5, 2023
M1 Ultra
March 8, 2022 – June 5, 2023
M2
June 6, 2022 – present
M2 Pro
January 17, 2023 – present
M2 Max
January 17, 2023 – present
M2 Ultra
June 5, 2023 – present
M3
October 30, 2023 – present
M3 Pro
October 30, 2023 – present
M3 Max
October 30, 2023 – present

Apple M1

The M1, Apple's first system on a chip designed for use in Macs, is manufactured using TSMC's 5 nm process. Announced on November 10, 2020, it is used in the MacBook Air (M1, 2020), Mac mini (M1, 2020), MacBook Pro (13-inch, M1, 2020), iMac (24-inch, M1, 2021), iPad Pro (5th generation) and iPad Air (5th generation). It comes with 4 performance cores and 4 efficiency cores, for a total of 8 CPU cores. It comes with up to 8 GPU cores, with the entry level MacBook Air having only 7 GPU cores. The M1 has 16 billion transistors.[174]

Apple M1 Pro

The M1 Pro is a more powerful version of the M1, with six to eight performance cores, two efficiency cores, 14 to 16 GPU cores, 16 Neural Engine cores, up to 32 GB unified RAM with up to 200 GB/s memory bandwidth, and more than double the transistors. It was announced on October 18, 2021, and is used in the 14- and 16-inch MacBook Pro. Apple claimed the CPU performance is about 70% faster than the M1, and that its GPU performance is about double. Apple claims the M1 Pro can deliver up to 20 streams of 4K or 7 streams of 8K ProRes video playback (up from 6 offered by Afterburner card for 2019 Mac Pro).

Apple M1 Max

The M1 Max is a larger version of the M1 Pro chip, with eight performance cores, two efficiency cores, 24 to 32 GPU cores, 16 Neural Engine cores, up to 64 GB unified RAM with up to 400 GB/s memory bandwidth, and more than double the number of transistors. It was announced on October 18, 2021, and is used in the 14- and 16-inch MacBook Pro, as well as the Mac Studio. Apple claims the M1 Max can deliver up to 30 streams of 4K (up from 23 offered by Afterburner card for 2019 Mac Pro) or 7 streams of 8K ProRes video playback.

Apple M1 Ultra

The M1 Ultra consists of two M1 Max dies connected together by a silicon interposer through Apple's UltraFusion technology.[175] It has 114 billion transistors, 16 performance cores, 4 efficiency cores, 48 to 64 GPU cores and 32 Neural Engine cores; it can be configured with up to 128 GB unified RAM of 800 GB/s memory bandwidth. It was announced on March 8, 2022, as an optional upgrade for the Mac Studio. Apple claims the M1 Ultra can deliver up to 18 streams of 8K ProRes video playback.[176]

Apple M2

Apple announced the M2 SoC on June 6, 2022, at WWDC, along with the new MacBook Air and the new 13-inch MacBook Pro and later the iPad Pro (6th generation). The M2 is made with TSMC's "Enhanced 5-nanometer technology" N5P process and contains 20 billion transistors, a 25% increase from the previous generation M1. The M2 can be configured with up to 24 gigabytes of RAM and 2 terabytes of storage. It has 8 CPU cores (4 performance and 4 efficiency) and up to 10 GPU cores. The M2 also increases the memory bandwidth to 100 GB/s. Apple claims CPU improvements up to 18% and GPU improvements up to 35% compared to the previous M1.[177]

Apple M2 Pro

The M2 Pro is a more powerful version of the M2, with six to eight performance cores, four efficiency cores, 16 to 19 GPU cores, 16 Neural Engine cores, up to 32 GB unified RAM with up to 200 GB/s memory bandwidth, and double the transistors. It was announced on January 17, 2023 in a press release and it is used in the 14- and 16-inch 2023 MacBook Pro as well as the Mac Mini. Apple claims the CPU performance is 20 percent faster than the M1 Pro and the GPU is 30 percent faster than the M1 Pro.[178]

Apple M2 Max

The M2 Max is a larger version of the M2 Pro, with eight performance cores, four efficiency cores, 30 to 38 GPU cores, 16 Neural Engine cores, up to 96 GB unified RAM with up to 400 GB/s memory bandwidth, and more than double the transistors. It was announced on January 17, 2023 in a press release and it is used in the 14- and 16-inch 2023 MacBook Pro, as well as the Mac Studio.[179] Apple claims the CPU performance is 20 percent faster than M1 Max and the GPU is 30 percent faster than the M1 Max.[178]

Apple M2 Ultra

The M2 Ultra consists of two M2 Max dies connected together by a silicon interposer through Apple's UltraFusion technology. It has 134 billion transistors, 16 performance cores, 8 efficiency cores, 60 to 76 GPU cores and 32 Neural Engine cores; it can be configured with up to 192 GB unified RAM of 800 GB/s memory bandwidth. It was announced on June 5, 2023, as an optional upgrade for the Mac Studio and the sole processor for the Mac Pro. Apple claims the M2 Ultra can deliver up to 22 streams of 8K ProRes video playback.[180]

Apple M3

Apple announced the M3 series of chips on October 30, 2023, along with the new MacBook Pro and iMac. The M3 is based on the 3 nm process and contains 25 billion transistors, a 25% increase from the previous generation M2. It has 8 CPU cores (4 performance and 4 efficiency) and up to 10 GPU cores. Apple claims CPU improvements up to 35% and GPU improvements up to 65% compared to the M1.[181]

Apple M3 Pro

The M3 Pro is a more powerful version of the M3, with six performance cores, six efficiency cores, 14 to 18 GPU cores, 16 Neural Engine cores, up to 36 GB unified RAM with 150 GB/s memory bandwidth, and 48% more transistors. It is used in the 14- and 16-inch MacBook Pro. Apple claims the CPU performance is 30 percent faster than the M1 Pro and the GPU is 40 percent faster than the M1 Pro.[181]

Apple M3 Max

The M3 Max is a larger version of the M3 Pro, with ten or twelve performance cores, four efficiency cores, 30 to 40 GPU cores, 16 Neural Engine cores, up to 128 GB unified RAM with up to 400 GB/s memory bandwidth, and more than double the transistors. It is used in the 14- and 16-inch MacBook Pro. Apple claims the CPU performance is 80 percent faster than the M1 Max and the GPU is 50 percent faster than the M1 Max.[181]

Comparison of M series processors

General Semiconductor technology CPU GPU AI accelerator Media Engine Memory technology First release
Name Codename
and part no.
Image Process Transistor count
and die size
CPU ISA Performance core Efficiency core Overall cores Cache Vendor Cores SIMD EU count FP32 ALU count Frequency FP32 FLOPS
(TFLOPS)
Cores OPS Hardware Acceleration Media Decode/Encode Engine Memory bus width Total channel
Bit per channel
Memory type Theoretical
bandwidth
Available capacity
Core name Cores Core speed Core name Cores Core speed L1 L2 SLC Video decode Video encode ProRes decode & encode AV1 decode
M1 APL1102
T8103
Apple M1 processor TSMC
N5
16 billion
118.91 mm²
[182]
ARMv8.5-A Firestorm 4 3.20 GHz Icestorm 4 2.06 GHz 8-core P-core:
L1i: 192 KB
L1d: 128 KB

E-core:
L1i: 128 KB
L1d: 64 KB
P-core:
12 MB

E-core:
4 MB
8 MB Fourth generation Apple-designed 7 28 896 1278 MHz 2.290 16 11 TOPS H264, HEVC 1 1 128-bit 2 channels
64-bit/channel
LPDDR4X-4266
(2133 MHz)
68.25 GB/s 8 GB
16 GB[i]
November 17, 2020
8 32 1024 2.617
M1 Pro APL1103
T6000
Apple M1 Pro processor 33.7 billion
≈ 245 mm²
[183]
6 3.23 GHz 2 P-core:
24 MB

E-core:
4 MB
24 MB 14 56 1792 1296 MHz 4.644 H264, HEVC, ProRes, ProRes RAW 1 256-bit 2 channels
128-bit/channel
LPDDR5-6400
(3200 MHz)
204.8 GB/s 16 GB
32 GB[j]
October 26, 2021
8 10-core
16 64 2048 5.308
M1 Max APL1105
T6001
[184]
Apple M1 Max processor 57 billion
≈ 432 mm²
[183]
48 MB 24 96 3072 7.962 2 2 512-bit 4 channels
128-bit/channel
409.6 GB/s 32 GB
64 GB[k]
32 128 4096 10.616
M1 Ultra APL1W06
T6002
Apple M1 Ultra processor 114 billion
≈ 864 mm²
16 4 20-core P-core:
48 MB

E-core:
8 MB
96 MB 48 192 6144 15.925 32 22 TOPS 2 4 4 1024-bit 8 channels
128-bit/channel
819.2 GB/s 64 GB
128 GB[l]
March 18, 2022
64 256 8192 21.233
M2 APL1109
T8112
Apple M2 processor TSMC
N5P
20 billion
155.25 mm²
[182]
ARMv8.6-A Avalanche 4 3.50 GHz Blizzard 4 2.42 GHz 8-core P-core:
16 MB

E-core:
4 MB
8 MB Fifth generation Apple-designed 8 32 1024 1398 MHz 2.863 16 15.8 TOPS 1 1 1 128-bit 2 channels
64-bit/channel
102.4 GB/s 8 GB
16 GB[m]
24 GB[n]
June 24, 2022
10 40 1280 3.578
M2 Pro APL1113
T6020
40 billion 6 10-core P-core:
32 MB

E-core:
4 MB
24 MB 16 64 2048 5.726 256-bit 4 channels
64-bit/channel
204.8 GB/s 16 GB
32 GB[o]
January 24, 2023
8 12-core 19 76 2432 6.799
M2 Max APL1111
T6021
67 billion 3.69 GHz
[185]
48 MB 30 120 3840 10.736 2 2 512-bit 4 channels
128-bit/channel
409.6 GB/s 32 GB
64 GB[p]
96 GB[q]
38 152 4864 13.599
M2 Ultra APL1W12
T6022
134 billion 16 ~3.00 GHz
-3.70 GHz
[185][186][187]
8 24-core P-core:
64 MB

E-core:
8 MB
96 MB 60 240 7680 21.473 32 31.6 TOPS 2 4 4 1024-bit 8 channels
128-bit/channel
819.2 GB/s 64 GB
128 GB[r]
192 GB[s]
June 13, 2023
76 304 9728 27.199
M3 APL1201
T8122
TSMC
N3B
25 billion 4 4.05 GHz 4 2.75 GHz 8-core P-core:
16 MB

E-core:
4 MB
8 MB Seventh generation Apple-designed 8 128 1024 1380 MHz

[188]

2.826 16 18 TOPS 1 1 1 1 128-bit 2 channels
64-bit/channel
102.4 GB/s 8 GB
16 GB[t]
24 GB[u]
November 7, 2023
10 160 1280 3.533
M3 Pro APL1203
T6030
37 billion 5 6 11-core 12 MB 14 224 1792 4.946 192-bit 3 channels
64-bit/channel
153.6 GB/s 18 GB
36 GB[v]
6 12-core 18 288 2304 6.359
M3 Max T6034/T6031 92 billion 10 4 14-core P-core:
32 MB

E-core:
4 MB
48 MB 30 480 3840 10.598 2 2 384-bit 3 channels
128-bit/channel
307.2 GB/s 36 GB
96 GB[w]
12 16-core 40 640 5120 14.131 512-bit 4 channels
128-bit/channel
409.6 GB/s 48 GB
64 GB[x]
128 GB[y]
Name Codename
and part no.
Image Process Transistor count
and die size
CPU ISA Core name Cores Core speed Core name Cores Core speed Overall cores L1 L2 SLC Vendor Cores EU count ALU count Frequency FP32 FLOPS
(TFLOPS)
Cores OPS Hardware Acceleration Video decode Video encode ProRes decode & Eecode AV1 decode Memory bus width Total channel
Bit per channel
Memory type Theoretical
bandwidth
Available capacity First release
Performance core Efficiency core Cache Media Decode/Encode Engine
General Semiconductor technology CPU GPU AI accelerator Media Engine Memory technology

R series

Apple R1

The Apple R1 was announced by Apple on June 5, 2023 at its Worldwide Developers Conference. It is used in the Apple Vision Pro headset. The Apple R1 is dedicated to the real time processing of sensor inputs and delivering extremely low-latency images to the displays.

S series

The Apple "S" series is a family of systems in a package (SiP) used in the Apple Watch and HomePod. It uses a customized application processor that together with memory, storage and support processors for wireless connectivity, sensors, and I/O form a complete computer in a single package. They are designed by Apple and manufactured by contract manufacturers such as Samsung.

Apple S1

The Apple S1 is an integrated computer. It includes memory, storage and support circuits like wireless modems and I/O controllers in a sealed integrated package. It was announced on September 9, 2014, as part of the "Wish we could say more" event. It was used in the first-generation Apple Watch.[189]

Apple S1P

Used in Apple Watch Series 1. It has a dual-core processor identical to the S2, with the exception of the built-in GPS receiver. It contains the same dual-core CPU with the same new GPU capabilities as the S2, making it about 50% faster than the S1.[190][191]

Apple S2

Used in the Apple Watch Series 2. It has a dual-core processor and a built-in GPS receiver. The S2's two cores deliver 50% higher performance and the GPU delivers twice as much as the predecessor,[192] and is similar in performance to the Apple S1P.[193]

Apple S3

Used in the Apple Watch Series 3. It has a dual-core processor that is 70% faster than the Apple S2 and a built-in GPS receiver.[194] There is also an option for a cellular modem and an internal eSIM module.[194] It also includes the W2 chip.[194] The S3 also contains a barometric altimeter, the W2 wireless connectivity processor, and in some models UMTS (3G) and LTE (4G) cellular modems served by a built-in eSIM.[194]

Apple S4

Used in the Apple Watch Series 4. It introduced 64-bit ARMv8 cores to the Apple Watch through two Tempest cores,[195][196] which are also found in the A12 as energy-efficient cores. Despite its small size, Tempest uses a 3-wide decode out-of-order superscalar design, which makes it much more powerful than preceding in-order cores.

The S4 contains a Neural Engine that is able to run Core ML.[197] Third-party apps can use it starting from watchOS 6. The SiP also includes new accelerometer and gyroscope functionality that has twice the dynamic range in measurable values of its predecessor, as well as being able to sample data at 8 times the speed.[198]It contains the W3 wireless chip, which supports Bluetooth 5. It also contains a new custom GPU, which can use the Metal API.[199]

Apple S5

Used in the Apple Watch Series 5, Watch SE, and HomePod mini.[200] It adds a built-in magnetometer to the custom 64-bit dual-core processor and GPU of the S4.[201]

Apple S6

Used in the Apple Watch Series 6. It has a custom 64-bit dual-core processor that runs up to 20 percent faster than the S5.[202][203] The dual cores in the S6 are based on the A13's energy-efficient "little" Thunder cores at 1.8 GHz.[204] Like the S4 and S5, it also contains the W3 wireless chip.[203] The S6 adds the new U1 ultra wideband chip, an always-on altimeter, and 5 GHz WiFi.[202][203]

Apple S7

Used in the Apple Watch Series 7 and second-generation HomePod. The S7 has the same T8301 identifier and quoted performance as the S6.[205]

Apple S8

Used in the Apple Watch SE (2nd generation), Watch Series 8, and Watch Ultra. The S8 adds a new three-axis gyroscope and high g-force accelerometer.[206] It has the same T8301 identifier and quoted performance as the S6 and S7.[207]

Apple S9

Used in the Apple Watch Series 9 and Watch Ultra 2. The S9 has a new dual-core CPU with 60 percent more transistors than the S8, and a new four-core Neural Engine.[208]

Comparison of S series processors

Name Model no. Image Semiconductor technology Die size CPU ISA CPU CPU cache GPU Memory technology Modem First Released
S1 APL
0778
[209]
28 nm MG[210][211] 32 mm2[210] ARMv7k[211][212] 520 MHz single-core Cortex-A7[211] L1d: 32 KB[213]
L2: 256 KB[213]
PowerVR Series 5[211][214] LPDDR3[215] April 24, 2015
S1P TBC TBC ARMv7k[216][190][192] 520 MHz dual-core Cortex-A7[216] L1d: 32 KB[213] PowerVR Series 6 'Rogue'[216] LPDDR3 September 12, 2016
S2
S3 ARMv7k[217] Dual-core TBC LPDDR4 Qualcomm MDM9635M
Snapdragon X7 LTE
September 22, 2017
S4 7 nm (TSMC N7) TBC ARMv8-A ILP32[218][219] 1.59 GHz Dual-core Tempest L1d: 32 KB[211]
L2: 2 MB[211]
Apple G11M[219] TBC September 21, 2018
S5 September 20, 2019
S6 7 nm (TSMC N7P) TBC 1.8 GHz Dual-core Thunder L1d: 48 KB[220]
L2: 4 MB[221]
TBC September 18, 2020
S7 October 15, 2021
S8 September 16, 2022
S9 4 nm (TSMC N4P)[222] Dual-core Sawtooth L1d: 64 KB
L2: 4 MB[223]
September 22, 2023
Name Model no. Image Semiconductor technology Die size CPU ISA CPU CPU cache GPU Memory technology Modem First Released

T series

The T series chip operates as a secure enclave on Intel-based MacBook and iMac computers released from 2016 onwards. The chip processes and encrypts biometric information (Touch ID) and acts as a gatekeeper to the microphone and FaceTime HD camera, protecting them from hacking. The chip runs bridgeOS, a purported variant of watchOS.[224] The functions of the T series processor were built into the M series CPUs, thus ending the need for the T series.

Apple T1

The Apple T1 chip is an ARMv7 SoC (derived from the processor in the Apple Watch's S2) that drives the System Management Controller (SMC) and Touch ID sensor of the 2016 and 2017 MacBook Pro with Touch Bar.[225]

Apple T2

The Apple T2 security chip is a SoC first released in the iMac Pro. It is a 64-bit ARMv8 chip (a variant of the A10 Fusion, or T8010).[226] It provides a secure enclave for encrypted keys, enables users to lock down the computer's boot process, handles system functions like the camera and audio control, and handles on-the-fly encryption and decryption for the solid-state drive.[227][228][229] T2 also delivers "enhanced imaging processing" for the iMac Pro's FaceTime HD camera.[230][231]

Comparison of T series processors

Name Model no. Image Semiconductor technology Die size CPU ISA CPU CPU cache GPU Memory technology First Released
Memory bandwidth
T1 APL
1023
[232]
Apple T1 Processor Same as S2 TBC ARMv7 TBD November
12, 2016
T2 APL
1027
[233]
Apple T2 Processor TSMC 16 nm FinFET.[234] 104 mm2[234] ARMv8-A
ARMv7-A
2× Hurricane
2× Zephyr
+ Cortex-A7
L1i: 64 KB
L1d: 64 KB
L2: 3 MB[234]
3× cores[234] LP-DDR4[234] December
14, 2017
Name Model no. Image Semiconductor technology Die size CPU ISA CPU CPU cache GPU Memory bandwidth First Released
Memory technology

U series

The Apple "U" series is a family of systems in a package (SiP) implementing ultra-wideband (UWB) radio.

Apple U1

The Apple U1 is used in the iPhone 11 series up until the iPhone 15 series. (excluding the second and third generation iPhone SE), Apple Watch Series 6 (and Apple Watch Ultra) up until the Apple Watch Series 9 (and Apple Watch Ultra 2), HomePod (2nd generation), HomePod Mini, AirTag trackers and the charging case for AirPods Pro (2nd generation).[235]

Apple U2

The Apple U2 is used in the iPhone 15 series, Apple Watch Series 9, and Apple Watch Ultra 2.

Comparison of U series processors

Name Model no. Image CPU Semiconductor technology First Released
U1 TMK

A75
[236]

Apple U1 chip Cortex-M4
ARMv7E-M
[237]
16 nm FinFET
(TSMC 16FF)
September 20, 2019
U2 September 22, 2023
Name Model no. Image CPU Semiconductor technology First Released

W series

The Apple "W" series is a family of RF SoCs used for Bluetooth and Wi-Fi connectivity.

Apple W1

The Apple W1 is a SoC used in the 2016 AirPods and select Beats headphones.[238][239] It maintains a Bluetooth[240] Class 1 connection with a computer device and decodes the audio stream that is sent to it.[241]

Apple W2

The Apple W2, used in the Apple Watch Series 3, is integrated into the Apple S3 SiP. Apple claimed the chip makes Wi-Fi 85% faster and allows Bluetooth and Wi-Fi to use half the power of the W1 implementation.[194]

Apple W3

The Apple W3 is used in the Apple Watch Series 4,[242] Series 5,[243] Series 6,[203] SE (1st generation),[203] Series 7, Series 8, SE (2nd generation), Ultra, Series 9 and Ultra 2. It is integrated into the Apple S4, S5, S6, S7, S8 and S9 SiPs. It supports Bluetooth 5.0/5.3.

Comparison of W series processors

Name Model no. Image Semiconductor technology Die size CPU ISA CPU CPU cache Memory technology Bluetooth First Released
Memory bandwidth
W1 343S00130[244]
343S00131[244]
Apple W1 chip TBC 14.3
 mm2
[244]
TBC 4.2 December
13, 2016
W2 338S00348[245] Apple W2 chip TBC September
22, 2017
W3 338S00464[246] Apple W3 chip 5.0/5.3 September
21, 2018
Name Model no. Image Semiconductor technology Die size CPU ISA CPU CPU cache Memory bandwidth Bluetooth First Released
Memory technology

M series coprocessors

The Apple M-series coprocessors are motion coprocessors used by Apple Inc. in their mobile devices. First released in 2013, their function is to collect sensor data from integrated accelerometers, gyroscopes and compasses and offload the collecting and processing of sensor data from the main central processing unit (CPU).

Only the M7 and M8 coprocessors were housed on separate chips; the M9, M10, and M11 coprocessors were embedded in their corresponding A-series chips. Beginning with the A12 Bionic chip in 2018, the motion coprocessors were fully integrated into the SoC; this allowed Apple to reuse the "M"-series codename for their desktop SoCs.

Comparison of M series coprocessors

Name Model no. Image Semiconductor technology CPU ISA CPU First Released Utilizing devices
Apple M7 LPC18A1 NXP LPC18A1 90 nm ARMv7-M 150 MHz Cortex-M3 September
10, 2013
Apple M8 LPC18B1 NXP LPC18B1 September
9, 2014
Name Model no. Image Semiconductor technology CPU ISA CPU First Released Utilizing devices

Miscellaneous devices

This segment is about Apple-designed processors that are not easily sorted into another section.

Early series

Apple first used SoCs in early versions of the iPhone and iPod Touch. They combine in one package a single ARM-based processing core (CPU), a graphics processing unit (GPU), and other electronics necessary for mobile computing.

The APL0098 (also 8900B[247] or S5L8900) is a package on package (PoP) system on a chip (SoC) that was introduced on June 29, 2007, at the launch of the original iPhone. It includes a 412 MHz single-core ARM11 CPU and a PowerVR MBX Lite GPU. It was manufactured by Samsung on a 90 nm process.[11] The iPhone 3G and the first-generation iPod Touch also use it.[248]

The APL0278[249] (also S5L8720) is a PoP SoC introduced on September 9, 2008, at the launch of the second-generation iPod Touch. It includes a 533 MHz single-core ARM11 CPU and a PowerVR MBX Lite GPU. It was manufactured by Samsung on a 65 nm process.[11][248]

The APL0298 (also S5L8920) is a PoP SoC introduced on June 8, 2009, at the launch of the iPhone 3GS. It includes a 600 MHz single-core Cortex-A8 CPU and a PowerVR SGX535 GPU. It was manufactured by Samsung on a 65 nm process.[107]

The APL2298 (also S5L8922) is a 45 nm die shrunk version of the iPhone 3GS SoC[11] and was introduced on September 9, 2009, at the launch of the third-generation iPod Touch.

Other

The Samsung S5L8747 is an ARM-based microcontroller used in Apple's Lightning Digital AV Adapter, a Lightning-to-HDMI adapter. This is a miniature computer with 256 MB RAM, running an XNU kernel loaded from the connected iPhone, iPod Touch, or iPad, then taking a serial signal from the iOS device translating that into a proper HDMI signal.[250][251]

Model no. Image First released CPU ISA Specs Application Utilizing devices Operating system
339S0196 339S0196 microcontroller September 2012 Unknown

ARM

256 MB
RAM
Lightning to
HDMI conversion
Apple Digital
AV Adapter
XNU
Model no. Image First released CPU ISA Specs Application Utilizing devices Operating system

See also

Similar platforms

Notes

  1. ^ Could be referred to as "A1" though it is not labelled as such
  2. ^ Could be referred to as "A2" though it is not labelled as such
  3. ^ Sometimes referred to as "A3" though it is not labelled as such
  4. ^ iPad (1st generation)
  5. ^ iPod touch (5th generation), iPad 2 (Wi-Fi), iPad (3rd generation, Wi-Fi), iPad mini (1st generation, Wi-Fi)
  6. ^ iPhone 4S, iPad 2 (Wi-Fi + Cellular), iPad (3rd generation, Wi-Fi + Cellular), iPad mini (1st generation, Wi-Fi + Cellular)
  7. ^ iPhone 5C and iPad (4th generation, Wi-Fi)
  8. ^ iPhone 5 and iPad (4th generation, Wi-Fi + Cellular)
  9. ^ iPad Pro 12.9-inch (5th generation) with 1 TB and 2 TB storage, iPad Pro 11-inch (3rd generation) with 1 TB and 2 TB storage, online configured MacBook Air (M1) and online configured MacBook Pro 13-inch (M1)
  10. ^ Online configured MacBook Pro 14-inch (2021) and online configured MacBook Pro 16-inch (2021)
  11. ^ Online configured MacBook Pro 14-inch (2021), online configured MacBook Pro 16-inch (2021) and online configured Mac Studio (2022)
  12. ^ Online configured Mac Studio (2022)
  13. ^ iPad Pro 12.9-inch (6th generation) with 1 TB and 2 TB storage, iPad Pro 11-inch (4th generation) with 1 TB and 2 TB storage, online configured MacBook Air (M2) and online configured MacBook Pro 13-inch (M2)
  14. ^ Online configured MacBook Air (M2) and online configured MacBook Pro 13-inch (M2)
  15. ^ Online configured Mac mini (M2 Pro, 2023), online configured MacBook Pro 14-inch (2023) and online configured MacBook Pro 16-inch (2023)
  16. ^ Online configured MacBook Pro 14-inch (2023), online configured MacBook Pro 16-inch (2023) and online configured Mac Studio (2023)
  17. ^ Online configured MacBook Pro 14-inch (2023) with 38-core GPU, online configured MacBook Pro 16-inch (2023) with 38-core GPU and online configured Mac Studio (2023) with 38-core GPU
  18. ^ Online configured Mac Studio (2023) and Online configured Mac Pro (2023)
  19. ^ Online configured Mac Studio (2023) and Online configured Mac Pro (2023)
  20. ^ Online configured MacBook Pro 14-inch (Nov 2023)
  21. ^ Online configured MacBook Pro 14-inch (Nov 2023)
  22. ^ Online configured MacBook Pro 14-inch (Nov 2023)
  23. ^ Online configured MacBook Pro 14-inch (Nov 2023) and online configured MacBook Pro 16-inch (Nov 2023)
  24. ^ Online configured MacBook Pro 14-inch (Nov 2023) and online configured MacBook Pro 16-inch (Nov 2023)
  25. ^ Online configured MacBook Pro 14-inch (Nov 2023) and online configured MacBook Pro 16-inch (Nov 2023)

References

  1. ^ "Apple announces Mac transition to Apple silicon" (Press release). Apple. June 22, 2020. Archived from the original on June 22, 2020. Retrieved June 23, 2020.
  2. ^ Warren, Tom (June 22, 2020). "Apple is switching Macs to its own processors starting later this year". The Verge. Archived from the original on June 22, 2020. Retrieved June 22, 2020.
  3. ^ "The Most Important Apple Executive You've Never Heard Of". Bloomberg News. Archived from the original on March 31, 2019. Retrieved June 18, 2016.
  4. ^ Lovejoy, Ben (July 18, 2016). "Apple reportedly dropping Samsung for not only A10 in iPhone 7 but also A11 in iPhone 8". 9to5Mac. Archived from the original on July 3, 2020. Retrieved July 1, 2020.
  5. ^ Clark, Don (April 5, 2010). "Apple iPad Taps Familiar Component Suppliers". The Wall Street Journal. Archived from the original on September 19, 2018. Retrieved April 15, 2010.
  6. ^ Boldt, Paul; Scansen, Don; Whibley, Tim (June 16, 2010). "Apple's A4 dissected, discussed...and tantalizing". EE Times. Archived from the original on October 22, 2021. Retrieved October 22, 2021.
  7. ^ "Microsoft PowerPoint – Apple A4 vs SEC S5PC110A01" (PDF). Archived from the original (PDF) on July 4, 2010. Retrieved July 7, 2010.
  8. ^ a b "Apple Launches iPad" (Press release). Apple. January 27, 2010. Archived from the original on May 25, 2017. Retrieved January 28, 2010.
  9. ^ Wiens, Kyle (April 5, 2010). "Apple A4 Teardown". iFixit. Step 20. Archived from the original on June 23, 2020. Retrieved June 19, 2020. It's clear from both hardware and software that this is a single core processor, so it must be the ARM Cortex A8, and NOT the rumored multicore A9.
  10. ^ Melanson, Donald (February 23, 2010). "iPad confirmed to use PowerVR SGX graphics". Engadget. Archived from the original on December 7, 2012. Retrieved August 24, 2017.
  11. ^ a b c d e f g h i j k Choi, Young (May 10, 2010). "Analysis gives first look inside Apple's A4 processor". EETimes. Archived from the original on September 15, 2013. Retrieved September 15, 2013.
  12. ^ a b c d e "Chipworks Confirms Apple A4 iPad chip is fabbed by Samsung in their 45-nm process". Chipworks. April 15, 2010. Archived from the original on September 21, 2010.
  13. ^ "iPad – It's thin, light, powerful, and revolutionary". Apple. Archived from the original on July 6, 2010. Retrieved July 7, 2010.
  14. ^ "iPhone 4 design". Apple. July 6, 2010. Archived from the original on July 6, 2010.
  15. ^ Vance, Ashlee (February 21, 2010). "For Chip Makers, the Next Battle Is in Smartphones". The New York Times. Archived from the original on February 25, 2010. Retrieved February 25, 2010.
  16. ^ Stokes, Jon (April 28, 2010). "Apple purchase of Intrinsity confirmed". Ars Technica. Archived from the original on April 28, 2010. Retrieved April 28, 2010.
  17. ^ Merritt, Rick (July 26, 2009). "Samsung, Intrinsity pump ARM to GHz rate". EE Times. Archived from the original on October 22, 2021. Retrieved October 22, 2021.
  18. ^ Keizer, Gregg (April 6, 2010). "Apple's iPad twice as fast as iPhone 3GS, tests show". Computerworld. Archived from the original on October 22, 2021. Retrieved October 22, 2021.
  19. ^ "iPad – Technical specifications". Apple. Archived from the original on February 15, 2015. Retrieved October 16, 2016.
  20. ^ "Apple iPad 2 GPU Performance Explored: PowerVR SGX543MP2 Benchmarked – AnandTech :: Your Source for Hardware Analysis and News". AnandTech. Archived from the original on March 18, 2011. Retrieved March 15, 2011.
  21. ^ "Teardown of Apple's 4th-gen iPod Touch finds 256 MB of RAM". Appleinsider.com. September 8, 2010. Archived from the original on September 11, 2010. Retrieved September 10, 2010.
  22. ^ "Apple TV 2nd Generation Teardown". iFixit. September 30, 2010. Archived from the original on June 23, 2020. Retrieved June 19, 2020.
  23. ^ "Apple reveals iPhone 4 has 512 MB RAM, doubling iPad – report". AppleInsider. June 17, 2010. Archived from the original on July 4, 2010. Retrieved July 7, 2010.
  24. ^ "A Peek Inside Apple's A4 Processor". iFixit. April 5, 2010. Archived from the original on June 21, 2020. Retrieved June 19, 2020.
  25. ^ Greenberg, Marc (April 9, 2010). "Apple iPad: no LPDDR2?". Denali. Archived from the original on February 26, 2019. Retrieved February 26, 2019.
  26. ^ Merritt, Rick (April 9, 2010). "iPad equipped to deliver richer graphics". EE Times Asia. Archived from the original on September 27, 2011. Retrieved April 14, 2010.
  27. ^ "Updated: Samsung fabs Apple A5 processor". EETimes.com. March 12, 2011. Archived from the original on May 9, 2013. Retrieved March 15, 2011.
  28. ^ "Apple announces redesigned iPad 2: A5 CPU, 2 cameras, ships March 11". AppleInsider. March 2, 2011. Archived from the original on June 23, 2020. Retrieved June 13, 2020.
  29. ^ "Apple iPad 2 feature page". Apple.com. Archived from the original on March 16, 2011. Retrieved March 15, 2011.
  30. ^ a b "Apple iPad 2 Preview – AnandTech :: Your Source for Hardware Analysis and News". AnandTech. Archived from the original on December 13, 2017. Retrieved March 15, 2011.
  31. ^ "iPad 2 – Technical Specifications". Apple. Archived from the original on February 13, 2015. Retrieved October 16, 2016.
  32. ^ "Inside Apple's iPad 2 A5: fast LPDDR2 RAM, costs 66% more than Tegra 2". AppleInsider. March 13, 2011. Archived from the original on May 16, 2013. Retrieved March 15, 2011.
  33. ^ a b c d "A First Look at Apple's A5 Processor". Chipworks. March 12, 2011. Archived from the original on November 1, 2013. Retrieved September 15, 2013.
  34. ^ a b c "Update – 32-nm Apple A5 in the Apple TV 3 – and an iPad 2!". Chipworks. April 11, 2012. Archived from the original on October 24, 2013. Retrieved September 15, 2013.
  35. ^ "Single-core A5 CPU in new 1080p Apple TV doubles RAM to 512 MB". AppleInsider. March 18, 2012. Archived from the original on March 20, 2012. Retrieved March 19, 2012.
  36. ^ "Update – 32-nm Apple A5 in the Apple TV 3 – and an iPad 2!". ChipWorks. April 11, 2012. Archived from the original on April 13, 2012. Retrieved April 12, 2012.
  37. ^ "The iPad 2,4 Review: 32nm Brings Better Battery Life". AnandTech. Archived from the original on November 11, 2012. Retrieved November 1, 2012.
  38. ^ "A5 Chip in Tweaked Apple TV Still Manufactured by Samsung at 32nm". March 12, 2013. Archived from the original on March 14, 2013. Retrieved March 12, 2013.
  39. ^ "Tweaked Apple TV Contains Die-Shrunk A5 Chip, Not A5X". March 10, 2013. Archived from the original on March 10, 2013. Retrieved March 10, 2013.
  40. ^ a b c "Apple's TV surprise – a new A5 chip!". Chipworks. March 12, 2013. Archived from the original on November 10, 2013. Retrieved September 15, 2013.
  41. ^ "Apple Launches New iPad". Apple. March 7, 2012. Archived from the original on March 8, 2012. Retrieved September 17, 2013.
  42. ^ a b "The Apple A5X versus the A5 and A4 – Big Is Beautiful". Chipworks. March 19, 2012. Archived from the original on December 5, 2013. Retrieved September 15, 2013.
  43. ^ "Apple A5X Die Size Measured: 162.94mm^2, Samsung 45nm LP Confirmed". AnandTech. Archived from the original on January 2, 2013. Retrieved November 1, 2012.
  44. ^ "The Frequency of Apple A5X in the New iPad Confirmed: Still Running at 1 GHz". AnandTech. Archived from the original on October 31, 2012. Retrieved November 1, 2012.
  45. ^ "iPad 3 4G Teardown". iFixit. March 15, 2012. Step 15. Archived from the original on June 21, 2020. Retrieved June 19, 2020.
  46. ^ Apple Introduces iPhone 5, Apple.com, September 12, 2012, archived from the original on January 30, 2017, retrieved September 20, 2012
  47. ^ "Apple: A6 chip in iPhone 5 has 2x CPU power, 2x graphics performance, yet consumes less energy". September 12, 2012. Archived from the original on September 14, 2013. Retrieved August 24, 2017.
  48. ^ Apple's A6 CPU actually clocked at around 1.3 GHz, per new Geekbench report, Engadget, September 26, 2012, archived from the original on September 29, 2012, retrieved September 26, 2012
  49. ^ a b c Shimpi, Anand Lal (September 15, 2012). "The iPhone 5's A6 SoC: Not A15 or A9, a Custom Apple Core Instead". AnandTech. Archived from the original on December 21, 2012. Retrieved September 15, 2012.
  50. ^ Shimpi, Anand Lal; Klug, Brian; Gowri, Vivek (October 16, 2012). "The iPhone 5 Review – Decoding Swift". AnandTech. Archived from the original on December 8, 2012. Retrieved October 17, 2012.
  51. ^ a b "Apple A6 Die Revealed: 3-core GPU, <100mm^2". AnandTech. September 21, 2012. Archived from the original on September 22, 2012. Retrieved September 22, 2012.
  52. ^ a b c "Apple iPhone 5 – the A6 Application Processor". Chipworks. September 21, 2012. Archived from the original on September 22, 2013. Retrieved September 15, 2013.
  53. ^ "Apple Introduces iPad mini". Apple. October 23, 2012. Archived from the original on September 12, 2013. Retrieved September 16, 2013.
  54. ^ a b c d Shimpi, Anand Lal (November 2, 2012). "iPad 4 GPU Performance Analyzed: PowerVR SGX 554MP4 Under the Hood". AnandTech. Archived from the original on September 22, 2013. Retrieved September 16, 2013.
  55. ^ a b c d "Inside the Apple iPad 4 – A6X a very new beast!". Chipworks. November 1, 2012. Archived from the original on May 18, 2015. Retrieved September 15, 2013.
  56. ^ "Apple Announces iPhone 5s—The Most Forward-Thinking Smartphone in the World". Apple. September 10, 2013. Archived from the original on September 13, 2013. Retrieved September 13, 2013.
  57. ^ Crothers, Brooke. "iPhone 5S' A7 chip is first 64-bit processor for smartphones". CNET. Archived from the original on February 22, 2020. Retrieved July 1, 2020.
  58. ^ a b c Shimpi, Anand Lal (September 17, 2013). "The iPhone 5s Review: A7 SoC Explained". AnandTech. Archived from the original on September 21, 2013. Retrieved September 18, 2013.
  59. ^ a b Shimpi, Anand Lal (October 29, 2013). "The iPad Air Review: iPhone to iPad: CPU Changes". AnandTech. Archived from the original on November 1, 2013. Retrieved October 30, 2013.
  60. ^ a b c Shimpi, Anand Lal (September 17, 2013). "The iPhone 5s Review: The Move to 64-bit". AnandTech. Archived from the original on September 21, 2013. Retrieved September 18, 2013.
  61. ^ a b c d Shimpi, Anand Lal (September 17, 2013). "The iPhone 5s Review: After Swift Comes Cyclone". AnandTech. Archived from the original on September 21, 2013. Retrieved September 18, 2013.
  62. ^ Lattner, Chris (September 10, 2013). "[LLVMdev] A7 processor support?". llvm-dev (Mailing list). Archived from the original on September 24, 2015. Retrieved July 9, 2017.
  63. ^ a b Shimpi, Anand Lal (September 17, 2013). "The iPhone 5s Review: GPU Architecture". AnandTech. Archived from the original on September 21, 2013. Retrieved September 18, 2013.
  64. ^ Cunningham, Andrew (September 10, 2013). "Apple unveils 64-bit iPhone 5S with fingerprint scanner, $199 for 16 GB". Ars Technica. Archived from the original on September 12, 2013. Retrieved September 12, 2013.
  65. ^ a b Tanner, Jason; Morrison, Jim; James, Dick; Fontaine, Ray; Gamache, Phil (September 20, 2013). "Inside the iPhone 5s". Chipworks. Archived from the original on August 3, 2014. Retrieved September 20, 2013.
  66. ^ "Apple Announces iPhone 6 & iPhone 6 Plus—The Biggest Advancements in iPhone History" (Press release). Apple. September 9, 2014. Archived from the original on September 9, 2014. Retrieved September 9, 2014.
  67. ^ Savov, Vlad (September 9, 2014). "iPhone 6 and iPhone 6 Plus have a new faster A8 processor". The Verge. Vox Media. Archived from the original on September 10, 2014. Retrieved September 9, 2014.
  68. ^ "HomePod Teardown". iFixit. February 12, 2018. Archived from the original on February 12, 2018. Retrieved February 13, 2018.
  69. ^ a b c d "The iPhone 6 Review: A8's CPU: What Comes After Cyclone?". AnandTech. September 30, 2014. Archived from the original on May 15, 2015. Retrieved September 30, 2014.
  70. ^ a b c "The iPhone 6 Review: A8: Apple's First 20nm SoC". AnandTech. September 30, 2014. Archived from the original on October 1, 2014. Retrieved September 30, 2014.
  71. ^ a b c d e f Kanter, David. "A Look Inside Apple's Custom GPU for the iPhone". Archived from the original on August 27, 2019. Retrieved August 27, 2019.
  72. ^ Smith, Ryan (September 9, 2014). "Apple Announces A8 SoC". AnandTech. Archived from the original on September 10, 2014. Retrieved September 9, 2014.
  73. ^ "Inside the iPhone 6 and iPhone 6 Plus". Chipworks. September 19, 2014. Archived from the original on September 24, 2014. Retrieved September 20, 2014.
  74. ^ Anthony, Sebastian (September 10, 2014). "Apple's A8 SoC analyzed: The iPhone 6 chip is a 2-billion-transistor 20nm monster". Extremetech. Archived from the original on September 11, 2014. Retrieved September 10, 2014.
  75. ^ a b "Apple Introduces iPad Air 2—The Thinnest, Most Powerful iPad Ever" (Press release). Apple. October 16, 2014. Archived from the original on October 18, 2014. Retrieved October 16, 2014.
  76. ^ "iPad Air 2 – Performance". Apple. October 16, 2014. Archived from the original on October 16, 2014. Retrieved October 16, 2014.
  77. ^ a b c d e "Apple A8X's GPU – GXA6850, Even Better Than I Thought". Anandtech. November 11, 2014. Archived from the original on November 30, 2014. Retrieved November 12, 2014.
  78. ^ a b "Apple Introduces iPhone 6s & iPhone 6s Plus" (Press release). Apple. September 9, 2015. Archived from the original on September 11, 2015. Retrieved September 9, 2015.
  79. ^ "Apple Introduces iPad Pro Featuring Epic 12.9-inch Retina Display" (Press release). Apple. September 9, 2015. Archived from the original on September 11, 2015. Retrieved September 9, 2015.
  80. ^ "Apple's new iPad Pro is an expansive 12.9 inches, available in November". Ars Technica. September 9, 2015. Archived from the original on March 24, 2017. Retrieved September 9, 2015.
  81. ^ "Apple Introduces iPhone 7 & iPhone 7 Plus —The Best, Most Advanced iPhone Ever" (Press release). Apple Inc. September 7, 2016. Archived from the original on September 16, 2016. Retrieved September 16, 2016.
  82. ^ "iPod Touch". Apple. Archived from the original on October 24, 2017. Retrieved August 15, 2019.
  83. ^ a b "iPad Pro, in 10.5-inch and 12.9-inch models, introduces the world's most advanced display and breakthrough performance" (Press release). Apple Inc. June 5, 2017. Archived from the original on June 5, 2017. Retrieved June 5, 2017.
  84. ^ a b c d Wei, Andy (June 29, 2017). "10 nm Process Rollout Marching Right Along". TechInsights. Archived from the original on August 3, 2017. Retrieved June 30, 2017.
  85. ^ a b c "iPhone 8 and iPhone 8 Plus: A new generation of iPhone" (Press release). Apple Inc. September 12, 2017. Archived from the original on September 12, 2017. Retrieved September 12, 2017.
  86. ^ "iPhone 8:A11 Bionic". Apple Inc. September 12, 2017. Archived from the original on November 1, 2017. Retrieved September 12, 2017.
  87. ^ "Apple's 'Neural Engine' Infuses the iPhone With AI Smarts". Wired. ISSN 1059-1028. Archived from the original on March 30, 2018. Retrieved July 1, 2020.
  88. ^ "A12 Bionic". Apple Inc. September 12, 2018. Archived from the original on November 16, 2018. Retrieved November 22, 2018.
  89. ^ a b Summers, Nick (September 12, 2018). "Apple's A12 Bionic is the first 7-nanometer smartphone chip". Engadget. Archived from the original on September 13, 2018. Retrieved September 12, 2018.
  90. ^ "iPhone Xs and iPhone Xs Max bring the best and biggest displays to iPhone" (Press release). Apple Inc. September 12, 2018. Archived from the original on April 27, 2019. Retrieved September 12, 2018.
  91. ^ Smith, Ryan (September 12, 2018). "Apple Announces the 2018 iPhones: iPhone XS, iPhone XS Max, & iPhone XR". AnandTech. Archived from the original on September 13, 2018. Retrieved September 12, 2018.
  92. ^ "New iPad Pro with all-screen design Is most advanced, powerful iPad ever" (Press release). Apple. October 30, 2018. Archived from the original on October 30, 2018. Retrieved October 30, 2018.
  93. ^ Miller, Chance (March 18, 2020). "Apple unveils new iPad Pro with backlit Magic Keyboard case, available to order today". 9to5Mac. Archived from the original on March 18, 2020. Retrieved March 18, 2020.
  94. ^ Miller, Chance (March 26, 2020). "Report claims new iPad Pro's A12Z Bionic chip is just a 'renamed A12X with an enabled GPU core'". 9to5Mac. Archived from the original on March 27, 2020. Retrieved March 29, 2020.
  95. ^ Welch, Chris (June 22, 2020). "Apple announces Mac mini powered by its own chips for developers". The Verge. Archived from the original on June 22, 2020. Retrieved June 23, 2020.
  96. ^ "Apple A13 Bionic: iPhone 11 processor features and specs detailed". Trusted Reviews. September 10, 2019. Archived from the original on August 8, 2020. Retrieved August 19, 2020.
  97. ^ Alderson, Alex (September 15, 2020). "Apple unveils the A14 Bionic, the world's first 5 nm chipset with 11.8 billion transistors and sizeable performance gains over the A13 Bionic". Notebookcheck. Archived from the original on September 17, 2020. Retrieved September 16, 2020.
  98. ^ Shankland, Stephen (September 15, 2021). "Apple's A15 Bionic chip powers iPhone 13 with 15 billion transistors". CNet. Archived from the original on September 14, 2021. Retrieved September 14, 2021.
  99. ^ "iPhone 13 Pro: A15 Bionic with 5-core GPU for Best-in-Class Performance". videocardz.com. September 15, 2021. Archived from the original on September 14, 2021. Retrieved September 14, 2021.
  100. ^ "Compare Apple iPhone 14 vs. Apple iPhone 14 Plus – GSMArena.com". www.gsmarena.com. Archived from the original on September 8, 2022. Retrieved September 8, 2022.
  101. ^ "iPhone 14 Pro Max with A16 chipset appears on Geekbench with minimal performance improvement". GSMArena.com. Archived from the original on September 10, 2022. Retrieved September 10, 2022.
  102. ^ a b "Apple A16 Bionic: All you need to know about the new chip". Trusted Reviews. September 7, 2022. Archived from the original on September 11, 2022. Retrieved September 11, 2022.
  103. ^ a b "Logic Technology". TSMC. September 8, 2022. Archived from the original on September 8, 2022. Retrieved September 8, 2022.
  104. ^ a b Schor, David (October 26, 2021). "TSMC Extends Its 5nm Family With A New Enhanced-Performance N4P Node". WikiChip Fuse. Archived from the original on May 29, 2022. Retrieved September 8, 2022.
  105. ^ a b "N3E Replaces N3; Comes In Many Flavors". WikiChip Fuse. September 4, 2022. Archived from the original on September 10, 2022. Retrieved September 10, 2022.
  106. ^ Ryan Smith; Gavin Bonshor. "The Apple 2023 Fall iPhone Event Live Blog (Starts at 10am PT/17:00 UTC)". www.anandtech.com. Retrieved November 9, 2023.
  107. ^ a b Shimpi, Anand Lal (June 10, 2009). "The iPhone 3GS Hardware Exposed & Analyzed". AnandTech. Archived from the original on June 14, 2017. Retrieved September 13, 2013.
  108. ^ Wiens, Kyle (April 5, 2010). "Apple A4 Teardown". iFixit. Step 20. Archived from the original on August 10, 2013. Retrieved April 15, 2010. cIt's quite challenging to identify block-level logic inside a processor, so to identify the GPU we're falling back to software: early benchmarks are showing similar 3D performance to the iPhone, so we're guessing that the iPad uses the same PowerVR SGX 535 GPU.
  109. ^ Shimpi, Anand Lal (September 2012). "The iPhone 5 Performance Preview". AnandTech. Archived from the original on January 2, 2013. Retrieved October 24, 2012.
  110. ^ a b "Apple A6 Teardown". iFixit. September 25, 2012. Archived from the original on June 18, 2020. Retrieved June 19, 2020.
  111. ^ "Xcode 6 drops armv7s". Cocoanetics. October 10, 2014. Archived from the original on October 10, 2018. Retrieved October 9, 2018.
  112. ^ "The iPhone 5 Performance Preview". AnandTech. Archived from the original on January 2, 2013. Retrieved November 1, 2012.
  113. ^ a b Lai Shimpi, Anand (October 29, 2013). "The iPad Air Review: GPU Performance". AnandTech. Archived from the original on November 1, 2013. Retrieved October 30, 2013.
  114. ^ a b "Inside the iPad Air". Chipworks. November 1, 2013. Archived from the original on May 8, 2015. Retrieved November 12, 2013.
  115. ^ a b c "Correcting Apple's A9 SoC L3 Cache Size: A 4 MB Victim Cache". AnandTech. November 30, 2015. Archived from the original on December 1, 2015. Retrieved December 1, 2015.
  116. ^ Anthony, Sebastian (September 10, 2014). "Apple's A8 SoC analyzed". ExtremeTech. Archived from the original on September 11, 2014. Retrieved September 11, 2014.
  117. ^ a b "Imagination PowerVR GXA6850 – NotebookCheck.net Tech". NotebookCheck.net. November 26, 2014. Archived from the original on November 29, 2014. Retrieved November 26, 2014.
  118. ^ "Chipworks Disassembles Apple's A8 SoC: GX6450, 4 MB L3 Cache & More". AnandTech. September 23, 2014. Archived from the original on September 23, 2014. Retrieved September 23, 2014.
  119. ^ "Imagination PowerVR GX6450". NOTEBOOKCHECK. September 23, 2014. Archived from the original on September 25, 2014. Retrieved September 24, 2014.
  120. ^ Ho, Joshua (September 9, 2015). "Apple Announces the iPhone 6s and iPhone 6s Plus". Archived from the original on September 10, 2015. Retrieved September 10, 2015.
  121. ^ a b c "Apple's A9 SoC Is Dual Sourced From Samsung & TSMC". Anandtech. September 28, 2015. Archived from the original on September 30, 2015. Retrieved September 29, 2015.
  122. ^ "iPhone 6s customer receives her device early, benchmarks show a marked increase in power". iDownloadBlog. September 21, 2015. Archived from the original on September 24, 2015. Retrieved September 25, 2015.
  123. ^ "A9's CPU: Twister – The Apple iPhone 6s and iPhone 6s Plus Review". AnandTech. November 2, 2015. Archived from the original on January 18, 2016. Retrieved November 4, 2015.
  124. ^ "Inside the iPhone 6s". Chipworks. September 25, 2015. Archived from the original on February 3, 2017. Retrieved September 26, 2015.
  125. ^ "A9's GPU: Imagination PowerVR GT7600 – The Apple iPhone 6s and iPhone 6s Plus Review". AnandTech. November 2, 2015. Archived from the original on November 5, 2015. Retrieved November 4, 2015.
  126. ^ a b c d "More on Apple's A9X SoC: 147mm2@TSMC, 12 GPU Cores, No L3 Cache". AnandTech. November 30, 2015. Archived from the original on December 1, 2015. Retrieved December 1, 2015.
  127. ^ a b techinsights.com. "Apple iPhone 7 Teardown". www.chipworks.com. Archived from the original on September 16, 2016. Retrieved September 16, 2016.
  128. ^ "The A9X SoC & More To Come – The iPad Pro Preview: Taking Notes With iPad Pro". AnandTech. November 11, 2015. Archived from the original on November 13, 2015. Retrieved November 11, 2015.
  129. ^ "iPad Pro review: Mac-like speed with all the virtues and restrictions of iOS". AnandTech. November 11, 2015. Archived from the original on November 11, 2015. Retrieved November 11, 2015.
  130. ^ "Intel Core i5-8250U vs Apple A10 Fusion". GadgetVersus. Archived from the original on December 27, 2019. Retrieved December 27, 2019.
  131. ^ "iPhone 7 GPU breakdown". Wccftech. December 2016. Archived from the original on December 5, 2016. Retrieved February 1, 2017.
  132. ^ Agam Shah (December 2016). "The mysteries of the GPU in Apple's iPhone 7 are unlocked". PC World. Archived from the original on January 28, 2017. Retrieved February 1, 2017.
  133. ^ Smith, Ryan (June 29, 2017). "TechInsights Confirms Apple's A10X SoC Is TSMC 10nm FF; 96.4mm2 Die Size". AnandTech. Archived from the original on July 2, 2017. Retrieved June 30, 2017.
  134. ^ "Measured and Estimated Cache Sizes". AnandTech. October 5, 2018. Archived from the original on October 6, 2018. Retrieved October 6, 2018.
  135. ^ "Apple iPhone 8 Plus Teardown". TechInsights. September 27, 2017. Archived from the original on September 27, 2017. Retrieved September 28, 2017.
  136. ^ "Apple A11 New Instruction Set Extensions" (PDF). Apple Inc. June 8, 2018. Archived (PDF) from the original on October 8, 2018. Retrieved October 9, 2018.
  137. ^ "Apple iPhone Xs Max Teardown". TechInsights. September 21, 2018. Archived from the original on September 21, 2018. Retrieved September 21, 2018.
  138. ^ "Apple A12 Pointer Authentication Codes". Jonathan Levin, @Morpheus. September 12, 2018. Archived from the original on October 10, 2018. Retrieved October 9, 2018.
  139. ^ "The Packaging of Apple's A12X is… Weird". Dick James of Chipworks. January 16, 2019. Archived from the original on January 29, 2019. Retrieved January 28, 2019.
  140. ^ "Apple iPhone 11 Pro Max Teardown | TechInsights". www.techinsights.com. Archived from the original on September 27, 2019. Retrieved September 27, 2019.
  141. ^ "A13 has ARMv8.4, apparently (LLVM project sources, thanks, @Longhorn)". Jonathan Levin, @Morpheus. March 13, 2020. Archived from the original on March 10, 2020. Retrieved March 13, 2020.
  142. ^ a b Cross, Jason (October 14, 2020). "A14 Bionic FAQ: What you need to know about Apple's 5nm processor". Macworld. Archived from the original on May 7, 2021. Retrieved April 2, 2021.
  143. ^ a b c "Apple A15 (4 GPU Cores)". www.cpu-monkey.com. Archived from the original on September 22, 2022. Retrieved September 16, 2022.
  144. ^ Patel, Dylan (October 27, 2020). "Apple's A14 Packs 134 Million Transistors/mm², but Falls Short of TSMC's Density Claims". SemiAnalysis. Archived from the original on December 12, 2020. Retrieved October 29, 2020.
  145. ^ "LLVM Project (GitHub)". github.com. Retrieved September 25, 2022.
  146. ^ Frumusanu, Andrei (November 30, 2020). "The iPhone 12 & 12 Pro Review: New Design and Diminishing Returns". Anandtech. Archived from the original on April 29, 2021. Retrieved April 2, 2021.
  147. ^ "All-new iPad Air with advanced A14 Bionic chip available to order starting today". Apple. October 16, 2020. Archived from the original on May 31, 2021. Retrieved April 5, 2021.
  148. ^ Frumusanu, Andrei (September 15, 2020). "Apple Announces new 8th gen iPad with A12, iPad Air with 5nm A14 Chip". Anandtech. Archived from the original on September 29, 2020. Retrieved April 7, 2021.
  149. ^ a b "Apple iPhone 13 Pro Teardown | TechInsights". www.techinsights.com. Archived from the original on September 25, 2021. Retrieved September 25, 2021.
  150. ^ Sohail, Omar (September 16, 2021). "iPhone 13 With 4-Core GPU Scores Significantly Less Than iPhone 13 Pro; Only 15 Percent Higher Than iPhone 12 Pro". Wccftech. Archived from the original on September 17, 2021. Retrieved September 17, 2021.
  151. ^ Roberts, Dave (September 18, 2021). "Discover advances in Metal for A15 Bionic". developer.apple.com. Archived from the original on November 13, 2021. Retrieved November 12, 2021.
  152. ^ Sohail, Omar (September 15, 2021). "iPhone 13 Pro With 5-Core GPU Obtains a Remarkable 55 Percent Performance Increase Over iPhone 12 Pro". wccftech. Archived from the original on September 17, 2021. Retrieved September 19, 2021.
  153. ^ a b c "Apple A15 (5 GPU Cores)". www.cpu-monkey.com. Archived from the original on October 7, 2021. Retrieved September 16, 2022.
  154. ^ "Apple A15 bionic (4-GPU)", www.cpu-monkey
  155. ^ "Developing tvOS apps". Apple.
  156. ^ a b c d "Apple A16 (5 GPU Cores)". www.cpu-monkey.com. Archived from the original on September 12, 2022. Retrieved September 16, 2022.
  157. ^ "A15 Bionic: benchmarks and specs", www.nanoreview.net
  158. ^ Iphone 14 pro teardown ! Iphone 14 pro disassembly ! Iphone 14 teardown ! Iphone 14 pro max teardown, retrieved September 16, 2022
  159. ^ "Apple's 3nm iPhone chip advantage (and why it doesn't really matter)". Macworld. Retrieved February 23, 2023.
  160. ^ a b "【图片】A17 dieshot 出来了,仍然来自Techinsights【高通吧】_百度贴吧". tieba.baidu.com. Retrieved November 17, 2023.
  161. ^ "AArch64: add support for newer Apple CPUs · apple/llvm-project@677da09". GitHub. Retrieved September 27, 2022.
  162. ^ a b "The codename of the CPU core of A16 for iPhone14 Pro is revealed-posted by leaker". iPhone Wired. Archived from the original on September 13, 2022. Retrieved September 13, 2022.
  163. ^ a b Buckner, Sanjay (September 13, 2022). "Apple's A16 Bionic Gets New Cores, Now Codenamed After Mountains". News Revive. Archived from the original on September 13, 2022. Retrieved September 13, 2022.
  164. ^ a b SkyJuice. "Apple A16 Die Analysis". www.angstronomics.com. Retrieved September 23, 2022.
  165. ^ a b 极客湾Geekerwan. "A17 Pro Review: Powerful, But Should Be More Efficient!". Youtube.com. Retrieved September 19, 2023.
  166. ^ Mayo, Benjamin (March 20, 2019). "New Apple AirPods now available: H1 chip, wireless charging case, hands-free Hey Siri". 9to5Mac. Archived from the original on March 21, 2019. Retrieved March 20, 2019.
  167. ^ "AirPods, the world's most popular wireless headphones, are getting even better". Apple Newsroom. Apple Inc. Archived from the original on June 21, 2019. Retrieved March 21, 2019.
  168. ^ "AirPods (2nd generation)". Apple. Archived from the original on July 18, 2022. Retrieved January 8, 2021. The H1 chip also drives voice-enabled Siri access and delivers up to 30 percent lower gaming latency.
  169. ^ "Apple Explains Why Only USB-C AirPods Pro Support Lossless Audio With Vision Pro". MacRumors. September 22, 2023. Retrieved November 12, 2023.
  170. ^ "AirPods 2 Teardown". iFixit. March 28, 2019. Archived from the original on April 4, 2019. Retrieved April 4, 2019.
  171. ^ "H2 Audio AirPods 2 Teardown". 52 Audio. April 26, 2019. Archived from the original on March 29, 2020. Retrieved March 29, 2020.
  172. ^ "AirPods Max Teardown". iFixit. December 17, 2020. Archived from the original on January 31, 2021. Retrieved January 3, 2021.
  173. ^ "AirPods Pro Teardown". iFixit. August 31, 2019. Archived from the original on January 25, 2021. Retrieved January 6, 2021.
  174. ^ "Apple M1 Chip". Apple. November 10, 2020. Archived from the original on November 10, 2020. Retrieved November 10, 2020.
  175. ^ Smith, Ryan (March 8, 2022). "Apple Announces M1 Ultra: Combining Two M1 Maxes For Workstation Performance". Anandtech. UltraFusion: Apple’s Take On 2.5 Chip Packaging. Archived from the original on March 10, 2022. Retrieved March 10, 2022.
  176. ^ "Apple M1 Ultra". Apple. March 8, 2022. Archived from the original on March 8, 2022. Retrieved March 8, 2022.
  177. ^ "Apple unveils M2, taking the breakthrough performance and capabilities of M1 even further" (Press release). Apple. June 6, 2022. Archived from the original on June 10, 2022. Retrieved June 6, 2022.
  178. ^ a b "Apple unveils M2 Pro and M2 Max: next-generation chips for next-level workflows". Apple Newsroom. Retrieved January 18, 2023.
  179. ^ "Apple unveils new Mac Studio and brings Apple silicon to Mac Pro". Apple Newsroom. Retrieved June 6, 2023.
  180. ^ "Apple introduces M2 Ultra". Apple Newsrooom. Retrieved June 5, 2023.
  181. ^ a b c "Apple unveils M3, M3 Pro, and M3 Max, the most advanced chips for a personal computer". Apple Newsroom. Retrieved October 31, 2023.
  182. ^ a b "Apple M2 Die Shot and Architecture Analysis – Big Cost Increase And A15 Based IP". semianalysis. June 10, 2022. Archived from the original on June 10, 2022. Retrieved June 27, 2022.
  183. ^ a b Frumusanu, Andrei (October 18, 2021). "Apple Announces M1 Pro & M1 Max: Giant New Arm SoCs with All-Out Performance". AnandTech. Archived from the original on October 19, 2021. Retrieved October 21, 2021.
  184. ^ "APL1105 from @VadimYuryev on Twitter". Archived from the original on March 21, 2022. Retrieved March 21, 2022.
  185. ^ a b "Apple M2 Max". notebookcheck.net/. January 18, 2023. Retrieved November 1, 2023.
  186. ^ "Apple's M2 Ultra could be slower than the Intel Core i9-13900KS". xda-developers.com/. June 12, 2023. Retrieved November 1, 2023.
  187. ^ "Apple Mac Studio "M2 Ultra" 24 CPU/60 GPU Specs". everymac.com/. September 26, 2023. Retrieved November 1, 2023.
  188. ^ "Apple M3 Pro (14 Core)". GPU Monkey. Retrieved November 21, 2023.
  189. ^ Kleinman, Jacob (September 9, 2014). "Apple Watch Uses a New S1 Chip & Heart Rate Monitor". Archived from the original on September 10, 2014. Retrieved September 10, 2014.
  190. ^ a b Goldheart, Andrew (October 1, 2016). "We Just Took Apart the Apple Watch Series 1—Here's What We Found Out". iFixit. Archived from the original on January 24, 2018. Retrieved January 5, 2018.
  191. ^ "Apple Introduces Apple Watch Series 2, The Ultimate Device For A Healthy Life". Apple Press Info. September 7, 2016. Archived from the original on April 14, 2017. Retrieved November 8, 2021.
  192. ^ a b "Apple introduces Apple Watch Series 2". Apple. September 7, 2016. Archived from the original on November 16, 2017. Retrieved February 11, 2018.
  193. ^ Benjamin, Jeff (October 4, 2016). "PSA: The Apple Watch Series 1 is just as fast as Series 2". 9to5Mac. Archived from the original on November 8, 2021. Retrieved November 8, 2021.
  194. ^ a b c d e "Apple Watch Series 3 brings built-in cellular, powerful new health and fitness enhancements" (Press release). Apple Inc. September 12, 2017. Archived from the original on September 13, 2017. Retrieved September 13, 2017.
  195. ^ "Apple Watch S4 SoC Process Node". September 15, 2018. Archived from the original on November 8, 2021. Retrieved November 8, 2021.
  196. ^ "Yeah. The S4 Apple watch SoC is actually using Two Tempest (LITTLE) cores. Pret... | Hacker News". news.ycombinator.com. Archived from the original on November 8, 2021. Retrieved September 18, 2019.
  197. ^ "watchOS – Apple Developer". developer.apple.com. Archived from the original on November 8, 2021. Retrieved September 18, 2019.
  198. ^ Frumusanu, Andrei. "Apple Announces The Apple Watch 4: Fully Custom SiP". www.anandtech.com. Archived from the original on November 8, 2021. Retrieved September 18, 2019.
  199. ^ Troughton-Smith, Steve (October 2, 2018). "Ok we may not have an Apple Watch benchmark, but holy shit I can do 60fps physically-based Metal rendering and realtime physics on the Series 4 pic.twitter.com/GXza08pgIP". @stroughtonsmith. Archived from the original on November 8, 2021. Retrieved September 18, 2019.
  200. ^ "Apple introduces HomePod mini: A powerful smart speaker with amazing sound" (Press release). Apple Inc. October 13, 2020. Archived from the original on October 13, 2020. Retrieved October 13, 2020.
  201. ^ Troughton-Smith, Steve [@stroughtonsmith] (September 18, 2019). "According to Xcode, Apple Watch Series 5 has the same generation CPU/GPU as the Apple Watch Series 4; I guess the only changes are a gyro and 32 GB of NAND? The plus side of that is that we won't have to worry about watchOS being slower on the Series 4 than on a brand new model" (Tweet) – via Twitter.
  202. ^ a b "Apple Watch Series 6 delivers breakthrough wellness and fitness capabilities" (Press release). Apple Inc. September 15, 2020. Archived from the original on October 6, 2021. Retrieved September 19, 2020.
  203. ^ a b c d e "Apple Watch – Compare Models". Apple. Archived from the original on July 12, 2017. Retrieved September 17, 2020.
  204. ^ "Qualcomm Snapdragon Wear 4100 vs 3100 vs 2100 [Plus Comparison with Exynos vs Apple s5]". September 29, 2021. Archived from the original on May 6, 2021. Retrieved May 6, 2021.
  205. ^ Fathi, Sami (September 15, 2021). "Apple Watch Series 7 Tidbits: S7 Chip, Storage Remains 32 GB, USB-C Fast Charging Cable in the Box, and More". MacRumors. Archived from the original on September 17, 2021. Retrieved September 15, 2021.
  206. ^ Fathi, Sami (September 7, 2022). "Apple Watch Series 8 Announced With New Body Temperature Sensor, Car Crash Detection, and More". MacRumors. Archived from the original on September 8, 2022. Retrieved September 9, 2022.
  207. ^ Charlton, Hartley (September 12, 2022). "Apple Watch's S8 Chip Features Same CPU as S6 and S7". MacRumors.
  208. ^ Charlton, Hartley (September 12, 2023). "Apple Watch Series 9 Unveiled With S9 Chip, 'Double Tap' Gesture, and More". MacRumors. Retrieved September 12, 2023.
  209. ^ "Teardown shows Apple Watch S1 chip has custom CPU, 512 MB RAM, 8 GB storage". AppleInsider. April 30, 2015. Archived from the original on May 2, 2015. Retrieved April 30, 2015.
  210. ^ a b Jim Morrison; Daniel Yang (April 24, 2015). "Inside the Apple Watch: Technical Teardown". Chipworks. Archived from the original on May 18, 2015. Retrieved May 8, 2015.
  211. ^ a b c d e f Andrei, Frumusanu (July 20, 2015). "The Apple A12 - First Commercial 7nm Silicon". Anandtech. AnandTech. Retrieved November 16, 2023.
  212. ^ "Steve Troughton-Smith on Twitter". Archived from the original on March 3, 2016. Retrieved June 25, 2015.
  213. ^ a b c Ho, Joshua; Chester, Brandon. "The Apple Watch Review". www.anandtech.com. Retrieved November 17, 2023.
  214. ^ "Apple Watch runs 'most' of iOS 8.2, may use A5-equivalent processor". AppleInsider. April 23, 2015. Archived from the original on April 26, 2015. Retrieved April 25, 2015.
  215. ^ Ho, Joshua; Chester, Brandon (July 20, 2015). "The Apple Watch Review". AnandTech. Archived from the original on July 20, 2015. Retrieved July 20, 2015.
  216. ^ a b c Chester, Brandon (December 20, 2016). "The Apple Watch Series 2 Review: Building Towards Maturity". AnandTech. Archived from the original on October 22, 2017. Retrieved February 10, 2018.
  217. ^ "Apple CPU Architectures". Jonathan Levin, @Morpheus. September 20, 2018. Archived from the original on October 10, 2018. Retrieved October 9, 2018.
  218. ^ "ILP32 for AArch64 Whitepaper". ARM Limited. June 9, 2015. Archived from the original on December 30, 2018. Retrieved October 9, 2018.
  219. ^ a b "Apple devices in 2018". woachk, security researcher. October 6, 2018. Archived from the original on April 2, 2022. Retrieved October 9, 2018.
  220. ^ Frumusanu, Andrei. "The Apple iPhone 11, 11 Pro & 11 Pro Max Review: Performance, Battery, & Camera Elevated". www.anandtech.com. Retrieved November 17, 2023.
  221. ^ "Apple Watch Series7". X (formerly Twitter). Retrieved November 17, 2023.
  222. ^ "Apple Watch Series 9's S9 SiP Is A 4nm Part And Cut-Down Version Of The A16 Bionic, Revealing A Scalable Architecture For Various Product Lines". March 17, 2024.
  223. ^ "watch9". X (formerly Twitter). Retrieved November 17, 2023.
  224. ^ Cunningham, Andrew (October 28, 2016). "15 hours with the 13" MacBook Pro, and how Apple's T1 bridges ARM and Intel". Ars Technica. Archived from the original on April 14, 2017. Retrieved December 4, 2018.
  225. ^ Smith, Ryan (October 27, 2016). "Apple Announces 4th Generation MacBook Pro Family: Thinner, Lighter, with Thunderbolt 3 & "Touchbar"". Anandtech. Archived from the original on October 29, 2016. Retrieved October 27, 2016.
  226. ^ Parrish, Kevin (July 24, 2018). "Apple's T2 chip may be causing issues in iMac Pro and 2018 MacBook Pros". DigitalTrends. Archived from the original on September 18, 2018. Retrieved January 22, 2019. Of all the error messages uploaded to these threads, there is one detail they seem to share: Bridge OS. This is an embedded operating system used by Apple's stand-alone T2 security chip, which provides the iMac Pro with a secure boot, encrypted storage, live "Hey Siri" commands, and so on.
  227. ^ "iMac Pro Features Apple's Custom T2 Chip With Secure Boot Capabilities". MacRumors. December 14, 2017. Archived from the original on August 18, 2018. Retrieved August 18, 2018.
  228. ^ Evans, Jonny (July 23, 2018). "The MacBook Pro's T2 chip boosts enterprise security". Computerworld. Archived from the original on August 18, 2018. Retrieved August 18, 2018.
  229. ^ "The T2 chip makes the iMac Pro the start of a Mac revolution". Macworld. Archived from the original on August 18, 2018. Retrieved August 18, 2018.
  230. ^ "iMac Pro debuts custom Apple T2 chip to handle secure boot, password encryption, more". AppleInsider. December 12, 2017. Archived from the original on December 13, 2017. Retrieved December 14, 2017.
  231. ^ "Everything you need to know about Apple's T2 chip in the 2018 MacBook Pro". AppleInsider. August 8, 2018. Archived from the original on August 18, 2018. Retrieved August 18, 2018.
  232. ^ "MacBook Pro 13" Touch Bar Teardown". iFixit. November 15, 2016. Archived from the original on November 16, 2016. Retrieved November 17, 2016.
  233. ^ "iMac Pro Teardown". iFixit. January 2, 2018. Archived from the original on January 3, 2018. Retrieved January 3, 2018.
  234. ^ a b c d e Boldt, Paul (July 11, 2021). "Apple's Orphan Silicon". SemiWiki. Archived from the original on September 22, 2022. Retrieved July 18, 2021.
  235. ^ "AirTag". Apple. Archived from the original on December 14, 2021. Retrieved April 23, 2021.
  236. ^ "Apple U1 TMKA75 Ultra Wideband (UWB) Chip Analysis | TechInsights". www.techinsights.com. Archived from the original on December 28, 2020. Retrieved July 30, 2020.
  237. ^ @ghidraninja. "Yesss!! After hours of trying (and bricking 2 AirTags) I managed to break into the microcontroller of the AirTag!". Twitter. Archived from the original on November 13, 2021. Retrieved May 10, 2021.
  238. ^ Tilley, Aaron. "Apple Creates Its First Wireless Chip For New Wireless Headphones, AirPods". Forbes. Archived from the original on April 9, 2018. Retrieved August 24, 2017.
  239. ^ "Apple Announces New Line of Beats Headphones With W1 Wireless Chip". MacRumors. September 7, 2016. Archived from the original on September 10, 2016. Retrieved September 8, 2016.
  240. ^ "Apple's AirPods do use Bluetooth and they don't require an iPhone 7". Recode. September 7, 2016. Archived from the original on September 8, 2016. Retrieved September 8, 2016.
  241. ^ "AirPods". Apple Inc. Archived from the original on September 18, 2017. Retrieved September 8, 2017.
  242. ^ "Apple Watch Series 4". Apple Inc. Archived from the original on September 12, 2018. Retrieved September 13, 2018.
  243. ^ "Apple Watch – Compare Models". Apple Inc. Archived from the original on July 12, 2017. Retrieved September 13, 2018.
  244. ^ a b c techinsights.com. "Apple W1 343S00131 Bluetooth Module". w2.techinsights.com. Archived from the original on February 18, 2017. Retrieved February 17, 2017.
  245. ^ techinsights.com. "Apple Watch Series 3 Teardown". techinsights.com. Archived from the original on October 14, 2017. Retrieved October 14, 2017.
  246. ^ techinsights.com. "Apple W3 338S00464 Wireless Combo SoC Basic Functional Analysis". techinsights.com. Archived from the original on March 28, 2020. Retrieved March 28, 2020.
  247. ^ "iPhone 1st Generation Teardown". iFixit. June 29, 2007. Step 25. Archived from the original on June 21, 2020. Retrieved June 19, 2020.
  248. ^ a b Snell, Jason (November 25, 2008). "That iPod Touch runs at 533 MHz". Macworld. Archived from the original on October 22, 2021. Retrieved October 23, 2021.
  249. ^ "iPod Touch 2nd Generation Teardown". iFixit. September 10, 2008. Step 15. Archived from the original on June 21, 2020. Retrieved June 19, 2020.
  250. ^ "The Lightning Digital AV adapter surprise". Panic Inc. March 1, 2013. Archived from the original on January 22, 2021. Retrieved January 16, 2021.
  251. ^ "User comment: Airplay is not involved in the operation of this adapter". Panic Inc. March 2, 2013. Archived from the original on January 22, 2021. Retrieved January 16, 2021.

Further reading